annotate lisp/progmodes/vhdl-mode.el @ 56091:10b16f7f1a51

(re_wctype, re_iswctype): Function defs longer static. (CHAR_CLASS_MAX_LENGTH, re_wctype_t, re_wchar_t) (re_wctype, re_iswctype, re_wctype_to_bit): Non-function definitions moved to regex.h.
author Richard M. Stallman <rms@gnu.org>
date Sun, 13 Jun 2004 22:36:05 +0000
parents e8824c4f5f7e
children 5d471cc0eaab 8e5779acd195
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1 ;;; vhdl-mode.el --- major mode for editing VHDL code
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3 ;; Copyright (C) 1992-2003 Free Software Foundation, Inc.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5 ;; Authors: Reto Zimmermann <reto@gnu.org>
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6 ;; Rodney J. Whitby <software.vhdl-mode@rwhitby.net>
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7 ;; Maintainer: Reto Zimmermann <reto@gnu.org>
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8 ;; Keywords: languages vhdl
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9 ;; WWW: http://opensource.ethz.ch/emacs/vhdl-mode.html
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11 (defconst vhdl-version "3.32.12"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12 "VHDL Mode version number.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14 (defconst vhdl-time-stamp "2003-02-28"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15 "VHDL Mode time stamp for last update.")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
17 ;; This file is part of GNU Emacs.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
18
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
19 ;; GNU Emacs is free software; you can redistribute it and/or modify
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
20 ;; it under the terms of the GNU General Public License as published by
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
21 ;; the Free Software Foundation; either version 2, or (at your option)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
22 ;; any later version.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
23
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
24 ;; GNU Emacs is distributed in the hope that it will be useful,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
25 ;; but WITHOUT ANY WARRANTY; without even the implied warranty of
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
26 ;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
27 ;; GNU General Public License for more details.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
28
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
29 ;; You should have received a copy of the GNU General Public License
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
30 ;; along with GNU Emacs; see the file COPYING. If not, write to the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
31 ;; Free Software Foundation, Inc., 59 Temple Place - Suite 330,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
32 ;; Boston, MA 02111-1307, USA.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
33
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
34 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
35 ;;; Commentary:
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
36 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
37
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
38 ;; This package provides an Emacs major mode for editing VHDL code.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
39 ;; It includes the following features:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
40
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
41 ;; - Syntax highlighting
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
42 ;; - Indentation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
43 ;; - Template insertion (electrification)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
44 ;; - Insertion of file headers
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
45 ;; - Insertion of user-specified models
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
46 ;; - Port translation / testbench generation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
47 ;; - Sensitivity list updating
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
48 ;; - File browser
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
49 ;; - Design hierarchy browser
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
50 ;; - Source file compilation (syntax analysis)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
51 ;; - Makefile generation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
52 ;; - Code hiding
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
53 ;; - Word/keyword completion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
54 ;; - Block commenting
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
55 ;; - Code fixing/alignment/beautification
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
56 ;; - Postscript printing
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
57 ;; - VHDL'87/'93 and VHDL-AMS supported
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
58 ;; - Comprehensive menu
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
59 ;; - Fully customizable
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
60 ;; - Works under GNU Emacs (recommended) and XEmacs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
61
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
62 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
63 ;; Documentation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
64
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
65 ;; See comment string of function `vhdl-mode' or type `C-c C-h' in Emacs.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
66
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
67 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
68 ;; Emacs Versions
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
69
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
70 ;; supported: GNU Emacs 20.X/21.X, XEmacs 20.X/21.X
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
71 ;; tested on: GNU Emacs 20.4, XEmacs 21.1 (marginally)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
72
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
73 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
74 ;; Installation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
75
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
76 ;; Prerequisites: GNU Emacs 20.X/21.X, XEmacs 20.X/21.X.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
77
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
78 ;; Put `vhdl-mode.el' into the `site-lisp' directory of your Emacs installation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
79 ;; or into an arbitrary directory that is added to the load path by the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
80 ;; following line in your Emacs start-up file `.emacs':
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
81
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
82 ;; (setq load-path (cons (expand-file-name "<directory-name>") load-path))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
83
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
84 ;; If you already have the compiled `vhdl-mode.elc' file, put it in the same
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
85 ;; directory. Otherwise, byte-compile the source file:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
86 ;; Emacs: M-x byte-compile-file RET vhdl-mode.el RET
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
87 ;; Unix: emacs -batch -q -no-site-file -f batch-byte-compile vhdl-mode.el
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
88
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
89 ;; Add the following lines to the `site-start.el' file in the `site-lisp'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
90 ;; directory of your Emacs installation or to your Emacs start-up file `.emacs'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
91 ;; (not required in Emacs 20.X):
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
92
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
93 ;; (autoload 'vhdl-mode "vhdl-mode" "VHDL Mode" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
94 ;; (setq auto-mode-alist (cons '("\\.vhdl?\\'" . vhdl-mode) auto-mode-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
95
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
96 ;; More detailed installation instructions are included in the official
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
97 ;; VHDL Mode distribution.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
98
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
99 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
100 ;; Acknowledgements
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
101
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
102 ;; Electrification ideas by Bob Pack <rlpst@cislabs.pitt.edu>
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
103 ;; and Steve Grout.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
104
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
105 ;; Fontification approach suggested by Ken Wood <ken@eda.com.au>.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
106 ;; Ideas about alignment from John Wiegley <johnw@gnu.org>.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
107
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
108 ;; Many thanks to all the users who sent me bug reports and enhancement
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
109 ;; requests.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
110 ;; Thanks to Colin Marquardt for his serious beta testing, his innumerable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
111 ;; enhancement suggestions and the fruitful discussions.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
112 ;; Thanks to Dan Nicolaescu for reviewing the code and for his valuable hints.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
113 ;; Thanks to Ulf Klaperski for the indentation speedup hint.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
114
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
115 ;; Special thanks go to Wolfgang Fichtner and the crew from the Integrated
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
116 ;; Systems Laboratory, Swiss Federal Institute of Technology Zurich, for
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
117 ;; giving me the opportunity to develop this code.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
118 ;; This work has been funded in part by MICROSWISS, a Microelectronics Program
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
119 ;; of the Swiss Government.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
120
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
121 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
122
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
123 ;;; Code:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
124
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
125 ;; XEmacs handling
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
126 (defconst vhdl-xemacs (string-match "XEmacs" emacs-version)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
127 "Non-nil if XEmacs is used.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
128 ;; Emacs 21 handling
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
129 (defconst vhdl-emacs-21 (and (= emacs-major-version 21) (not vhdl-xemacs))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
130 "Non-nil if GNU Emacs 21 is used.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
131
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
132
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
133 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
134 ;;; Variables
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
135 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
136
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
137 ;; help function for user options
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
138 (defun vhdl-custom-set (variable value &rest functions)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
139 "Set variables as in `custom-set-default' and call FUNCTIONS afterwards."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
140 (if (fboundp 'custom-set-default)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
141 (custom-set-default variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
142 (set-default variable value))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
143 (while functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
144 (when (fboundp (car functions)) (funcall (car functions)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
145 (setq functions (cdr functions))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
146
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
147 (defun vhdl-widget-directory-validate (widget)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
148 "Check that the value of WIDGET is a valid directory entry (i.e. ends with
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
149 '/' or is empty)."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
150 (let ((val (widget-value widget)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
151 (unless (string-match "^\\(\\|.*/\\)$" val)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
152 (widget-put widget :error "Invalid directory entry: must end with '/'")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
153 widget)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
154
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
155 ;; help string for user options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
156 (defconst vhdl-name-doc-string "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
157
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
158 FROM REGEXP is a regular expression matching the original name:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
159 \".*\" matches the entire string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
160 \"\\(...\\)\" matches a substring
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
161 TO STRING specifies the string to be inserted as new name:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
162 \"\\&\" means substitute entire matched text
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
163 \"\\N\" means substitute what matched the Nth \"\\(...\\)\"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
164 Examples:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
165 \".*\" \"\\&\" inserts original string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
166 \".*\" \"\\&_i\" attaches \"_i\" to original string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
167 \"\\(.*\\)_[io]$\" \"\\1\" strips off \"_i\" or \"_o\" from original string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
168 \".*\" \"foo\" inserts constant string \"foo\"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
169 \".*\" \"\" inserts empty string")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
170
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
171 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
172 ;; User variables
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
173
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
174 (defgroup vhdl nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
175 "Customizations for VHDL Mode."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
176 :prefix "vhdl-"
21651
86fcccceba7b *** empty log message ***
Dan Nicolaescu <done@ece.arizona.edu>
parents: 21466
diff changeset
177 :group 'languages
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
178 ; :version "20.4" ; comment out for XEmacs
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
179 )
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
180
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
181 (defgroup vhdl-mode nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
182 "Customizations for modes."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
183 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
184
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
185 (defcustom vhdl-electric-mode t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
186 "*Non-nil enables electrification (automatic template generation).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
187 If nil, template generators can still be invoked through key bindings and
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
188 menu. Is indicated in the modeline by \"/e\" after the mode name and can be
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
189 toggled by `\\[vhdl-electric-mode]'."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
190 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
191 :group 'vhdl-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
192
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
193 (defcustom vhdl-stutter-mode t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
194 "*Non-nil enables stuttering.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
195 Is indicated in the modeline by \"/s\" after the mode name and can be toggled
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
196 by `\\[vhdl-stutter-mode]'."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
197 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
198 :group 'vhdl-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
199
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
200 (defcustom vhdl-indent-tabs-mode nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
201 "*Non-nil means indentation can insert tabs.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
202 Overrides local variable `indent-tabs-mode'."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
203 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
204 :group 'vhdl-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
205
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
206
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
207 (defgroup vhdl-compile nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
208 "Customizations for compilation."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
209 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
210
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
211 (defcustom vhdl-compiler-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
212 '(
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
213 ;; Cadence Leapfrog: cv -file test.vhd
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
214 ;; duluth: *E,430 (test.vhd,13): identifier (POSITIV) is not declared
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
215 ("Cadence Leapfrog" "cv" "-work \\1 -file" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
216 nil "mkdir \\1" "./" "work/" "Makefile" "leapfrog"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
217 ("duluth: \\*E,[0-9]+ (\\(.+\\),\\([0-9]+\\)):" 1 2 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
218 ("\\1/entity" "\\2/\\1" "\\1/configuration"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
219 "\\1/package" "\\1/body" downcase))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
220 ;; Cadence Affirma NC vhdl: ncvhdl test.vhd
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
221 ;; ncvhdl_p: *E,IDENTU (test.vhd,13|25): identifier
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
222 ;; (PLL_400X_TOP) is not declared [10.3].
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
223 ("Cadence NC" "ncvhdl" "-work \\1" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
224 nil "mkdir \\1" "./" "work/" "Makefile" "ncvhdl"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
225 ("ncvhdl_p: \\*E,\\w+ (\\(.+\\),\\([0-9]+\\)|\\([0-9]+\\)):" 1 2 3) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
226 nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
227 ;; Ikos Voyager: analyze test.vhd
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
228 ;; analyze test.vhd
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
229 ;; E L4/C5: this library unit is inaccessible
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
230 ("Ikos" "analyze" "-l \\1" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
231 nil "mkdir \\1" "./" "work/" "Makefile" "ikos"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
232 ("E L\\([0-9]+\\)/C\\([0-9]+\\):" 0 1 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
233 ("^analyze +\\(.+ +\\)*\\(.+\\)$" 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
234 nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
235 ;; ModelSim, Model Technology: vcom test.vhd
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
236 ;; ERROR: test.vhd(14): Unknown identifier: positiv
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
237 ;; WARNING[2]: test.vhd(85): Possible infinite loop
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
238 ;; ** Error: adder.vhd(190): Unknown identifier: ctl_numb
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
239 ("ModelSim" "vcom" "-93 -work \\1" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
240 nil "vlib \\1; vmap \\2 \\1" "./" "work/" "Makefile" "modelsim"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
241 ("\\(ERROR\\|WARNING\\|\\*\\* Error\\|\\*\\* Warning\\)[^:]*: \\(.+\\)(\\([0-9]+\\)):" 2 3 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
242 ("\\1/_primary.dat" "\\2/\\1.dat" "\\1/_primary.dat"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
243 "\\1/_primary.dat" "\\1/body.dat" downcase))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
244 ;; ProVHDL, Synopsys LEDA: provhdl -w work -f test.vhd
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
245 ;; test.vhd:34: error message
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
246 ("LEDA ProVHDL" "provhdl" "-w \\1 -f" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
247 nil "mkdir \\1" "./" "work/" "Makefile" "provhdl"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
248 ("\\([^ \t\n]+\\):\\([0-9]+\\): " 1 2 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
249 ("ENTI/\\1.vif" "ARCH/\\1-\\2.vif" "CONF/\\1.vif"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
250 "PACK/\\1.vif" "BODY/BODY-\\1.vif" upcase))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
251 ;; QuickHDL, Mentor Graphics: qvhcom test.vhd
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
252 ;; ERROR: test.vhd(24): near "dnd": expecting: END
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
253 ;; WARNING[4]: test.vhd(30): A space is required between ...
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
254 ("QuickHDL" "qvhcom" "-work \\1" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
255 nil "mkdir \\1" "./" "work/" "Makefile" "quickhdl"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
256 ("\\(ERROR\\|WARNING\\)[^:]*: \\(.+\\)(\\([0-9]+\\)):" 2 3 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
257 ("\\1/_primary.dat" "\\2/\\1.dat" "\\1/_primary.dat"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
258 "\\1/_primary.dat" "\\1/body.dat" downcase))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
259 ;; Savant: scram -publish-cc test.vhd
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
260 ;; test.vhd:87: _set_passed_through_out_port(IIR_Boolean) not defined for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
261 ("Savant" "scram" "-publish-cc -design-library-name \\1" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
262 nil "mkdir \\1" "./" "work._savant_lib/" "Makefile" "savant"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
263 ("\\([^ \t\n]+\\):\\([0-9]+\\): " 1 2 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
264 ("\\1_entity.vhdl" "\\2_secondary_units._savant_lib/\\2_\\1.vhdl"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
265 "\\1_config.vhdl" "\\1_package.vhdl"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
266 "\\1_secondary_units._savant_lib/\\1_package_body.vhdl" downcase))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
267 ;; Simili: vhdlp -work test.vhd
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
268 ;; Error: CSVHDL0002: test.vhd: (line 97): Invalid prefix
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
269 ("Simili" "vhdlp" "-work \\1" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
270 nil "mkdir \\1" "./" "work/" "Makefile" "simili"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
271 ("\\(Error\\|Warning\\): \\w+: \\(.+\\): (line \\([0-9]+\\)): " 2 3 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
272 ("\\1/prim.var" "\\2/_\\1.var" "\\1/prim.var"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
273 "\\1/prim.var" "\\1/_body.var" downcase))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
274 ;; Speedwave (Innoveda): analyze -libfile vsslib.ini -src test.vhd
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
275 ;; ERROR[11]::File test.vhd Line 100: Use of undeclared identifier
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
276 ("Speedwave" "analyze" "-libfile vsslib.ini -src" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
277 nil "mkdir \\1" "./" "work/" "Makefile" "speedwave"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
278 ("^ *ERROR\[[0-9]+\]::File \\(.+\\) Line \\([0-9]+\\):" 1 2 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
279 nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
280 ;; Synopsys, VHDL Analyzer (sim): vhdlan -nc test.vhd
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
281 ;; **Error: vhdlan,703 test.vhd(22): OTHERS is not legal in this context.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
282 ("Synopsys" "vhdlan" "-nc -work \\1" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
283 nil "mkdir \\1" "./" "work/" "Makefile" "synopsys"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
284 ("\\*\\*Error: vhdlan,[0-9]+ \\(.+\\)(\\([0-9]+\\)):" 1 2 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
285 ("\\1.sim" "\\2__\\1.sim" "\\1.sim" "\\1.sim" "\\1__.sim" upcase))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
286 ;; Synopsys, VHDL Analyzer (syn): vhdlan -nc -spc test.vhd
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
287 ;; **Error: vhdlan,703 test.vhd(22): OTHERS is not legal in this context.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
288 ("Synopsys Design Compiler" "vhdlan" "-nc -spc -work \\1" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
289 nil "mkdir \\1" "./" "work/" "Makefile" "synopsys_dc"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
290 ("\\*\\*Error: vhdlan,[0-9]+ \\(.+\\)(\\([0-9]+\\)):" 1 2 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
291 ("\\1.syn" "\\2__\\1.syn" "\\1.syn" "\\1.syn" "\\1__.syn" upcase))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
292 ;; Synplify:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
293 ;; @W:"test.vhd":57:8:57:9|Optimizing register bit count_x(5) to a constant 0
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
294 ("Synplify" "n/a" "n/a" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
295 nil "mkdir \\1" "./" "work/" "Makefile" "synplify"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
296 ("@[EWN]:\"\\(.+\\)\":\\([0-9]+\\):\\([0-9]+\\):" 1 2 3) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
297 nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
298 ;; Vantage: analyze -libfile vsslib.ini -src test.vhd
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
299 ;; Compiling "test.vhd" line 1...
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
300 ;; **Error: LINE 49 *** No aggregate value is valid in this context.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
301 ("Vantage" "analyze" "-libfile vsslib.ini -src" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
302 nil "mkdir \\1" "./" "work/" "Makefile" "vantage"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
303 ("\\*\\*Error: LINE \\([0-9]+\\) \\*\\*\\*" 0 1 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
304 ("^ *Compiling \"\\(.+\\)\" " 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
305 nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
306 ;; VeriBest: vc vhdl test.vhd
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
307 ;; (no file name printed out!)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
308 ;; 32: Z <= A and BitA ;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
309 ;; ^^^^
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
310 ;; [Error] Name BITA is unknown
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
311 ("VeriBest" "vc" "vhdl" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
312 nil "mkdir \\1" "./" "work/" "Makefile" "veribest"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
313 ("^ +\\([0-9]+\\): +[^ ]" 0 1 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
314 nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
315 ;; Viewlogic: analyze -libfile vsslib.ini -src test.vhd
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
316 ;; Compiling "test.vhd" line 1...
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
317 ;; **Error: LINE 49 *** No aggregate value is valid in this context.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
318 ("Viewlogic" "analyze" "-libfile vsslib.ini -src" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
319 nil "mkdir \\1" "./" "work/" "Makefile" "viewlogic"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
320 ("\\*\\*Error: LINE \\([0-9]+\\) \\*\\*\\*" 0 1 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
321 ("^ *Compiling \"\\(.+\\)\" " 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
322 nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
323 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
324 "*List of available VHDL compilers and their properties.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
325 Each list entry specifies the following items for a compiler:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
326 Compiler:
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
327 Compiler name : name used in option `vhdl-compiler' to choose compiler
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
328 Compile command : command used for source file compilation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
329 Compile options : compile options (\"\\1\" inserts library name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
330 Make command : command used for compilation using a Makefile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
331 Make options : make options (\"\\1\" inserts Makefile name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
332 Generate Makefile: use built-in function or command to generate a Makefile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
333 \(\"\\1\" inserts Makefile name, \"\\2\" inserts library name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
334 Library command : command to create library directory \(\"\\1\" inserts
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
335 library directory, \"\\2\" inserts library name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
336 Compile directory: where compilation is run and the Makefile is placed
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
337 Library directory: directory of default library
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
338 Makefile name : name of Makefile (default is \"Makefile\")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
339 ID string : compiler identification string (see `vhdl-project-alist')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
340 Error message:
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
341 Regexp : regular expression to match error messages
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
342 File subexp index: index of subexpression that matches the file name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
343 Line subexp index: index of subexpression that matches the line number
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
344 Column subexp idx: index of subexpression that matches the column number
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
345 File message:
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
346 Regexp : regular expression to match a file name message
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
347 File subexp index: index of subexpression that matches the file name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
348 Unit-to-file name mapping: mapping of library unit names to names of files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
349 generated by the compiler (used for Makefile generation)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
350 To string : string a name is mapped to (\"\\1\" inserts the unit name,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
351 \"\\2\" inserts the entity name for architectures)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
352 Case adjustment : adjust case of inserted unit names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
353
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
354 Compile options allows insertion of the library name (see `vhdl-project-alist')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
355 in order to set the compilers library option (e.g. \"vcom -work my_lib\").
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
356
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
357 For Makefile generation, the built-in function can be used (requires
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
358 specification of the unit-to-file name mapping). Alternatively, an
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
359 external command can be specified. Work directory allows specification of
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
360 an alternative \"work\" library path (e.g. \"WORK/\" instead of \"work/\",
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
361 used for Makefile generation). To use another library name than \"work\",
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
362 customize `vhdl-project-alist'. The library command is inserted in Makefiles
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
363 to automatically create the library directory if not existent.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
364
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
365 Compile options, compile directory, library directory, and Makefile name are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
366 overwritten by the project settings if a project is defined (see
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
367 `vhdl-project-alist'). Directory paths are relative to the source file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
368 directory.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
369
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
370 Some compilers do not include the file name in the error message, but print
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
371 out a file name message in advance. In this case, set \"File Subexp Index\"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
372 under \"Error Message\" to 0 and fill out the \"File Message\" entries.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
373 If no file name at all is printed out, set both \"File Message\" entries to 0
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
374 \(a default file name message will be printed out instead, does not work in
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
375 XEmacs).
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
376
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
377 A compiler is selected for syntax analysis (`\\[vhdl-compile]') by
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
378 assigning its name to option `vhdl-compiler'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
379
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
380 Please send any missing or erroneous compiler properties to the maintainer for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
381 updating.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
382
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
383 NOTE: Reflect the new setting in the choice list of option `vhdl-compiler'
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
384 by restarting Emacs."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
385 :type '(repeat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
386 (list :tag "Compiler" :indent 2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
387 (string :tag "Compiler name ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
388 (string :tag "Compile command ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
389 (string :tag "Compile options " "-work \\1")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
390 (string :tag "Make command " "make")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
391 (string :tag "Make options " "-f \\1")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
392 (choice :tag "Generate Makefile "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
393 (const :tag "Built-in function" nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
394 (string :tag "Command" "vmake \\2 > \\1"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
395 (string :tag "Library command " "mkdir \\1")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
396 (directory :tag "Compile directory "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
397 :validate vhdl-widget-directory-validate "./")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
398 (directory :tag "Library directory "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
399 :validate vhdl-widget-directory-validate "work/")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
400 (file :tag "Makefile name " "Makefile")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
401 (string :tag "ID string ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
402 (list :tag "Error message" :indent 4
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
403 (regexp :tag "Regexp ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
404 (integer :tag "File subexp index")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
405 (integer :tag "Line subexp index")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
406 (integer :tag "Column subexp idx"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
407 (list :tag "File message" :indent 4
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
408 (regexp :tag "Regexp ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
409 (integer :tag "File subexp index"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
410 (choice :tag "Unit-to-file name mapping"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
411 :format "%t: %[Value Menu%] %v\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
412 (const :tag "Not defined" nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
413 (list :tag "To string" :indent 4
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
414 (string :tag "Entity " "\\1.vhd")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
415 (string :tag "Architecture " "\\2_\\1.vhd")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
416 (string :tag "Configuration " "\\1.vhd")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
417 (string :tag "Package " "\\1.vhd")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
418 (string :tag "Package Body " "\\1_body.vhd")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
419 (choice :tag "Case adjustment "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
420 (const :tag "None" identity)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
421 (const :tag "Upcase" upcase)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
422 (const :tag "Downcase" downcase))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
423 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
424 (vhdl-custom-set variable value 'vhdl-update-mode-menu))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
425 :group 'vhdl-compile)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
426
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
427 (defcustom vhdl-compiler "ModelSim"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
428 "*Specifies the VHDL compiler to be used for syntax analysis.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
429 Select a compiler name from the ones defined in option `vhdl-compiler-alist'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
430 :type (let ((alist vhdl-compiler-alist) list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
431 (while alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
432 (setq list (cons (list 'const (caar alist)) list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
433 (setq alist (cdr alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
434 (append '(choice) (nreverse list)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
435 :group 'vhdl-compile)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
436
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
437 (defcustom vhdl-compile-use-local-error-regexp t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
438 "*Non-nil means use buffer-local `compilation-error-regexp-alist'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
439 In this case, only error message regexps for VHDL compilers are active if
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
440 compilation is started from a VHDL buffer. Otherwise, the error message
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
441 regexps are appended to the predefined global regexps, and all regexps are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
442 active all the time. Note that by doing that, the predefined global regexps
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
443 might result in erroneous parsing of error messages for some VHDL compilers.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
444
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
445 NOTE: Activate the new setting by restarting Emacs."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
446 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
447 :group 'vhdl-compile)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
448
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
449 (defcustom vhdl-makefile-generation-hook nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
450 "*Functions to run at the end of Makefile generation.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
451 Allows to insert user specific parts into a Makefile.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
452
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
453 Example:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
454 \(lambda nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
455 \(re-search-backward \"^# Rule for compiling entire design\")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
456 \(insert \"# My target\\n\\n.MY_TARGET :\\n\\n\\n\"))"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
457 :type 'hook
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
458 :group 'vhdl-compile)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
459
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
460 (defcustom vhdl-default-library "work"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
461 "*Name of default library.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
462 Is overwritten by project settings if a project is active."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
463 :type 'string
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
464 :group 'vhdl-compile)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
465
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
466
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
467 (defgroup vhdl-project nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
468 "Customizations for projects."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
469 :group 'vhdl)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
470
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
471 (defcustom vhdl-project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
472 '(("Example 1" "Source files in two directories, custom library name, VHDL'87"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
473 "~/example1/" ("src/system/" "src/components/") ""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
474 (("ModelSim" "-87 \\2" "-f \\1 top_level" nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
475 ("Synopsys" "-vhdl87 \\2" "-f \\1 top_level" ((".*/datapath/.*" . "-optimize \\3") (".*_tb\\.vhd" . nil))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
476 "lib/" "example3_lib" "lib/example3/" "Makefile_\\2" "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
477 ("Example 2" "Individual source files, multiple compilers in different directories"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
478 "$EXAMPLE2/" ("vhdl/system.vhd" "vhdl/component_*.vhd") ""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
479 nil "\\1/" "work" "\\1/work/" "Makefile" "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
480 ("Example 3" "Source files in a directory tree, multiple compilers in same directory"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
481 "/home/me/example3/" ("-r ./*/vhdl/") "/CVS/"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
482 nil "./" "work" "work-\\1/" "Makefile-\\1" "\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
483 -------------------------------------------------------------------------------
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
484 -- This is a multi-line project description
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
485 -- that can be used as a project dependent part of the file header.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
486 "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
487 "*List of projects and their properties.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
488 Name : name used in option `vhdl-project' to choose project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
489 Title : title of project (single-line string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
490 Default directory: default project directory (absolute path)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
491 Sources : a) source files : path + \"/\" + file name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
492 b) directory : path + \"/\"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
493 c) directory tree: \"-r \" + path + \"/\"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
494 Exclude regexp : matches file/directory names to be excluded as sources
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
495 Compile options : project-specific options for each compiler
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
496 Compiler name : name of compiler for which these options are valid
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
497 Compile options: project-specific compiler options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
498 (\"\\1\" inserts library name, \"\\2\" default options)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
499 Make options: project-specific make options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
500 (\"\\1\" inserts Makefile name, \"\\2\" default options)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
501 Exceptions : file-specific exceptions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
502 File name regexp: matches file names for which exceptions are valid
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
503 - Options : file-specific compiler options string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
504 (\"\\1\" inserts library name, \"\\2\" default options,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
505 \"\\3\" project-specific options)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
506 - Do not compile: do not compile this file (in Makefile)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
507 Compile directory: where compilation is run and the Makefile is placed
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
508 \(\"\\1\" inserts compiler ID string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
509 Library name : name of library (default is \"work\")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
510 Library directory: path to library (\"\\1\" inserts compiler ID string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
511 Makefile name : name of Makefile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
512 (\"\\1\" inserts compiler ID string, \"\\2\" library name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
513 Description : description of project (multi-line string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
514
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
515 Project title and description are used to insert into the file header (see
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
516 option `vhdl-file-header').
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
517
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
518 The default directory must have an absolute path (use `M-TAB' for completion).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
519 All other paths can be absolute or relative to the default directory. All
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
520 paths must end with '/'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
521
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
522 The design units found in the sources (files and directories) are shown in the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
523 hierarchy browser. Path and file name can contain wildcards `*' and `?' as
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
524 well as \"./\" and \"../\" (\"sh\" syntax). Paths can also be absolute.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
525 Environment variables (e.g. \"$EXAMPLE2\") are resolved. If no sources are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
526 specified, the default directory is taken as source directory. Otherwise,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
527 the default directory is only taken as source directory if there is a sources
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
528 entry with the empty string or \"./\". Exclude regexp allows to filter out
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
529 specific file and directory names from the list of sources (e.g. CVS
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
530 directories).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
531
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
532 Files are compiled in the compile directory. Makefiles are also placed into
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
533 the compile directory. Library directory specifies which directory the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
534 compiler compiles into (used to generate the Makefile).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
535
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
536 Since different compile/library directories and Makefiles may exist for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
537 different compilers within one project, these paths and names allow the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
538 insertion of a compiler-dependent ID string (defined in `vhdl-compiler-alist').
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
539 Compile options, compile directory, library directory, and Makefile name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
540 overwrite the settings of the current compiler.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
541
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
542 File-specific compiler options (highest priority) overwrite project-specific
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
543 options which overwrite default options (lowest priority). Lower priority
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
544 options can be inserted in higher priority options. This allows to reuse
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
545 default options (e.g. \"-file\") in project- or file-specific options (e.g.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
546 \"-93 -file\").
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
547
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
548 NOTE: Reflect the new setting in the choice list of option `vhdl-project'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
549 by restarting Emacs."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
550 :type `(repeat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
551 (list :tag "Project" :indent 2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
552 (string :tag "Name ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
553 (string :tag "Title ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
554 (directory :tag "Default directory"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
555 :validate vhdl-widget-directory-validate
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
556 ,(abbreviate-file-name default-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
557 (repeat :tag "Sources " :indent 4
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
558 (directory :format " %v" "./"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
559 (regexp :tag "Exclude regexp ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
560 (repeat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
561 :tag "Compile options " :indent 4
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
562 (list :tag "Compiler" :indent 6
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
563 ,(let ((alist vhdl-compiler-alist) list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
564 (while alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
565 (setq list (cons (list 'const (caar alist)) list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
566 (setq alist (cdr alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
567 (append '(choice :tag "Compiler name")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
568 (nreverse list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
569 (string :tag "Compile options" "\\2")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
570 (string :tag "Make options " "\\2")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
571 (repeat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
572 :tag "Exceptions " :indent 8
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
573 (cons :format "%v"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
574 (regexp :tag "File name regexp ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
575 (choice :format "%[Value Menu%] %v"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
576 (string :tag "Options" "\\3")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
577 (const :tag "Do not compile" nil))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
578 (directory :tag "Compile directory"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
579 :validate vhdl-widget-directory-validate "./")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
580 (string :tag "Library name " "work")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
581 (directory :tag "Library directory"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
582 :validate vhdl-widget-directory-validate "work/")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
583 (file :tag "Makefile name " "Makefile")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
584 (string :tag "Description: (type `C-j' for newline)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
585 :format "%t\n%v\n")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
586 :set (lambda (variable value)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
587 (vhdl-custom-set variable value
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
588 'vhdl-update-mode-menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
589 'vhdl-speedbar-refresh))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
590 :group 'vhdl-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
591
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
592 (defcustom vhdl-project nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
593 "*Specifies the default for the current project.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
594 Select a project name from the ones defined in option `vhdl-project-alist'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
595 Is used to determine the project title and description to be inserted in file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
596 headers and the source files/directories to be scanned in the hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
597 browser. The current project can also be changed temporarily in the menu."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
598 :type (let ((alist vhdl-project-alist) list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
599 (while alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
600 (setq list (cons (list 'const (caar alist)) list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
601 (setq alist (cdr alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
602 (append '(choice (const :tag "None" nil) (const :tag "--"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
603 (nreverse list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
604 :group 'vhdl-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
605
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
606 (defcustom vhdl-project-file-name '("\\1.prj")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
607 "*List of file names/paths for importing/exporting project setups.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
608 \"\\1\" is replaced by the project name (SPC is replaced by `_'), \"\\2\" is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
609 replaced by the user name (allows to have user-specific project setups).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
610 The first entry is used as file name to import/export individual project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
611 setups. All entries are used to automatically import project setups at
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
612 startup (see option `vhdl-project-auto-load'). Projects loaded from the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
613 first entry are automatically made current. Hint: specify local project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
614 setups in first entry, global setups in following entries; loading a local
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
615 project setup will make it current, while loading the global setups
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
616 is done without changing the current project.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
617 Names can also have an absolute path (i.e. project setups can be stored
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
618 in global directories)."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
619 :type '(repeat (string :tag "File name" "\\1.prj"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
620 :group 'vhdl-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
621
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
622 (defcustom vhdl-project-auto-load '(startup)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
623 "*Automatically load project setups from files.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
624 All project setup files that match the file names specified in option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
625 `vhdl-project-file-name' are automatically loaded. The project of the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
626 \(alphabetically) last loaded setup of the first `vhdl-project-file-name'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
627 entry is activated.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
628 A project setup file can be obtained by exporting a project (see menu).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
629 At startup: project setup file is loaded at Emacs startup"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
630 :type '(set (const :tag "At startup" startup))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
631 :group 'vhdl-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
632
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
633 (defcustom vhdl-project-sort t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
634 "*Non-nil means projects are displayed in alphabetical order."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
635 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
636 :group 'vhdl-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
637
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
638
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
639 (defgroup vhdl-style nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
640 "Customizations for coding styles."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
641 :group 'vhdl
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
642 :group 'vhdl-template
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
643 :group 'vhdl-port
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
644 :group 'vhdl-compose)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
645
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
646 (defcustom vhdl-standard '(87 nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
647 "*VHDL standards used.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
648 Basic standard:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
649 VHDL'87 : IEEE Std 1076-1987
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
650 VHDL'93 : IEEE Std 1076-1993
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
651 Additional standards:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
652 VHDL-AMS : IEEE Std 1076.1 (analog-mixed-signal)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
653 Math packages: IEEE Std 1076.2 (`math_real', `math_complex')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
654
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
655 NOTE: Activate the new setting in a VHDL buffer by using the menu entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
656 \"Activate Options\"."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
657 :type '(list (choice :tag "Basic standard"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
658 (const :tag "VHDL'87" 87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
659 (const :tag "VHDL'93" 93))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
660 (set :tag "Additional standards" :indent 2
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
661 (const :tag "VHDL-AMS" ams)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
662 (const :tag "Math packages" math)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
663 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
664 (vhdl-custom-set variable value
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
665 'vhdl-template-map-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
666 'vhdl-mode-abbrev-table-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
667 'vhdl-template-construct-alist-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
668 'vhdl-template-package-alist-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
669 'vhdl-update-mode-menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
670 'vhdl-words-init 'vhdl-font-lock-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
671 :group 'vhdl-style)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
672
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
673 (defcustom vhdl-basic-offset 2
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
674 "*Amount of basic offset used for indentation.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
675 This value is used by + and - symbols in `vhdl-offsets-alist'."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
676 :type 'integer
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
677 :group 'vhdl-style)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
678
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
679 (defcustom vhdl-upper-case-keywords nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
680 "*Non-nil means convert keywords to upper case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
681 This is done when typed or expanded or by the fix case functions."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
682 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
683 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
684 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
685 :group 'vhdl-style)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
686
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
687 (defcustom vhdl-upper-case-types nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
688 "*Non-nil means convert standardized types to upper case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
689 This is done when expanded or by the fix case functions."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
690 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
691 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
692 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
693 :group 'vhdl-style)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
694
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
695 (defcustom vhdl-upper-case-attributes nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
696 "*Non-nil means convert standardized attributes to upper case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
697 This is done when expanded or by the fix case functions."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
698 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
699 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
700 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
701 :group 'vhdl-style)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
702
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
703 (defcustom vhdl-upper-case-enum-values nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
704 "*Non-nil means convert standardized enumeration values to upper case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
705 This is done when expanded or by the fix case functions."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
706 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
707 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
708 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
709 :group 'vhdl-style)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
710
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
711 (defcustom vhdl-upper-case-constants t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
712 "*Non-nil means convert standardized constants to upper case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
713 This is done when expanded."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
714 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
715 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
716 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
717 :group 'vhdl-style)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
718
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
719 (defcustom vhdl-use-direct-instantiation 'standard
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
720 "*Non-nil means use VHDL'93 direct component instantiation.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
721 Never : never
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
722 Standard: only in VHDL standards that allow it (VHDL'93 and higher)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
723 Always : always"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
724 :type '(choice (const :tag "Never" never)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
725 (const :tag "Standard" standard)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
726 (const :tag "Always" always))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
727 :group 'vhdl-style)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
728
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
729
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
730 (defgroup vhdl-naming nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
731 "Customizations for naming conventions."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
732 :group 'vhdl)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
733
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
734 (defcustom vhdl-entity-file-name '(".*" . "\\&")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
735 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
736 "*Specifies how the entity file name is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
737 The entity file name can be obtained by modifying the entity name (e.g.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
738 attaching or stripping off a substring). The file extension is automatically
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
739 taken from the file name of the current buffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
740 vhdl-name-doc-string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
741 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
742 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
743 :group 'vhdl-naming
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
744 :group 'vhdl-compose)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
745
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
746 (defcustom vhdl-architecture-file-name '("\\(.*\\) \\(.*\\)" . "\\1_\\2")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
747 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
748 "*Specifies how the architecture file name is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
749 The architecture file name can be obtained by modifying the entity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
750 and/or architecture name (e.g. attaching or stripping off a substring). The
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
751 string that is matched against the regexp is the concatenation of the entity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
752 and the architecture name separated by a space. This gives access to both
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
753 names (see default setting as example)."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
754 vhdl-name-doc-string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
755 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
756 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
757 :group 'vhdl-naming
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
758 :group 'vhdl-compose)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
759
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
760 (defcustom vhdl-package-file-name '(".*" . "\\&")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
761 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
762 "*Specifies how the package file name is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
763 The package file name can be obtained by modifying the package name (e.g.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
764 attaching or stripping off a substring). The file extension is automatically
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
765 taken from the file name of the current buffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
766 vhdl-name-doc-string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
767 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
768 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
769 :group 'vhdl-naming
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
770 :group 'vhdl-compose)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
771
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
772 (defcustom vhdl-file-name-case 'identity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
773 "*Specifies how to change case for obtaining file names.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
774 When deriving a file name from a VHDL unit name, case can be changed as
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
775 follows:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
776 As Is: case is not changed (taken as is)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
777 Lower Case: whole name is changed to lower case
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
778 Upper Case: whole name is changed to upper case
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
779 Capitalize: first letter of each word in name is capitalized"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
780 :type '(choice (const :tag "As Is" identity)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
781 (const :tag "Lower Case" downcase)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
782 (const :tag "Upper Case" upcase)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
783 (const :tag "Capitalize" capitalize))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
784 :group 'vhdl-naming
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
785 :group 'vhdl-compose)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
786
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
787
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
788 (defgroup vhdl-template nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
789 "Customizations for electrification."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
790 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
791
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
792 (defcustom vhdl-electric-keywords '(vhdl user)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
793 "*Type of keywords for which electrification is enabled.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
794 VHDL keywords: invoke built-in templates
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
795 User keywords: invoke user models (see option `vhdl-model-alist')"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
796 :type '(set (const :tag "VHDL keywords" vhdl)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
797 (const :tag "User model keywords" user))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
798 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
799 (vhdl-custom-set variable value 'vhdl-mode-abbrev-table-init))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
800 :group 'vhdl-template)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
801
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
802 (defcustom vhdl-optional-labels 'process
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
803 "*Constructs for which labels are to be queried.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
804 Template generators prompt for optional labels for:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
805 None : no constructs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
806 Processes only: processes only (also procedurals in VHDL-AMS)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
807 All constructs: all constructs with optional labels and keyword END"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
808 :type '(choice (const :tag "None" none)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
809 (const :tag "Processes only" process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
810 (const :tag "All constructs" all))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
811 :group 'vhdl-template)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
812
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
813 (defcustom vhdl-insert-empty-lines 'unit
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
814 "*Specifies whether to insert empty lines in some templates.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
815 This improves readability of code. Empty lines are inserted in:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
816 None : no constructs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
817 Design units only: entities, architectures, configurations, packages only
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
818 All constructs : also all constructs with BEGIN...END parts
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
819
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
820 Replaces option `vhdl-additional-empty-lines'."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
821 :type '(choice (const :tag "None" none)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
822 (const :tag "Design units only" unit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
823 (const :tag "All constructs" all))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
824 :group 'vhdl-template
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
825 :group 'vhdl-port
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
826 :group 'vhdl-compose)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
827
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
828 (defcustom vhdl-argument-list-indent nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
829 "*Non-nil means indent argument lists relative to opening parenthesis.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
830 That is, argument, association, and port lists start on the same line as the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
831 opening parenthesis and subsequent lines are indented accordingly.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
832 Otherwise, lists start on a new line and are indented as normal code."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
833 :type 'boolean
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
834 :group 'vhdl-template
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
835 :group 'vhdl-port
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
836 :group 'vhdl-compose)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
837
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
838 (defcustom vhdl-association-list-with-formals t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
839 "*Non-nil means write association lists with formal parameters.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
840 Templates prompt for formal and actual parameters (ports/generics).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
841 When pasting component instantiations, formals are included.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
842 If nil, only a list of actual parameters is entered."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
843 :type 'boolean
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
844 :group 'vhdl-template
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
845 :group 'vhdl-port
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
846 :group 'vhdl-compose)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
847
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
848 (defcustom vhdl-conditions-in-parenthesis nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
849 "*Non-nil means place parenthesis around condition expressions."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
850 :type 'boolean
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
851 :group 'vhdl-template)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
852
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
853 (defcustom vhdl-zero-string "'0'"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
854 "*String to use for a logic zero."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
855 :type 'string
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
856 :group 'vhdl-template)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
857
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
858 (defcustom vhdl-one-string "'1'"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
859 "*String to use for a logic one."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
860 :type 'string
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
861 :group 'vhdl-template)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
862
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
863
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
864 (defgroup vhdl-header nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
865 "Customizations for file header."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
866 :group 'vhdl-template
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
867 :group 'vhdl-compose)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
868
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
869 (defcustom vhdl-file-header "\
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
870 -------------------------------------------------------------------------------
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
871 -- Title : <title string>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
872 -- Project : <project>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
873 -------------------------------------------------------------------------------
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
874 -- File : <filename>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
875 -- Author : <author>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
876 -- Company : <company>
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
877 -- Created : <date>
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
878 -- Last update: <date>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
879 -- Platform : <platform>
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
880 -- Standard : <standard>
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
881 <projectdesc>-------------------------------------------------------------------------------
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
882 -- Description: <cursor>
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
883 <copyright>-------------------------------------------------------------------------------
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
884 -- Revisions :
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
885 -- Date Version Author Description
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
886 -- <date> 1.0 <login>\tCreated
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
887 -------------------------------------------------------------------------------
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
888
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
889 "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
890 "*String or file to insert as file header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
891 If the string specifies an existing file name, the contents of the file is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
892 inserted, otherwise the string itself is inserted as file header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
893 Type `C-j' for newlines.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
894 If the header contains RCS keywords, they may be written as <RCS>Keyword<RCS>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
895 if the header needs to be version controlled.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
896
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
897 The following keywords for template generation are supported:
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
898 <filename> : replaced by the name of the buffer
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
899 <author> : replaced by the user name and email address
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
900 \(`user-full-name',`mail-host-address', `user-mail-address')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
901 <login> : replaced by user login name (`user-login-name')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
902 <company> : replaced by contents of option `vhdl-company-name'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
903 <date> : replaced by the current date
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
904 <year> : replaced by the current year
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
905 <project> : replaced by title of current project (`vhdl-project')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
906 <projectdesc> : replaced by description of current project (`vhdl-project')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
907 <copyright> : replaced by copyright string (`vhdl-copyright-string')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
908 <platform> : replaced by contents of option `vhdl-platform-spec'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
909 <standard> : replaced by the VHDL language standard(s) used
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
910 <... string> : replaced by a queried string (\"...\" is the prompt word)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
911 <title string>: replaced by file title in automatically generated files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
912 <cursor> : final cursor position
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
913
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
914 The (multi-line) project description <projectdesc> can be used as a project
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
915 dependent part of the file header and can also contain the above keywords."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
916 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
917 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
918
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
919 (defcustom vhdl-file-footer ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
920 "*String or file to insert as file footer.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
921 If the string specifies an existing file name, the contents of the file is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
922 inserted, otherwise the string itself is inserted as file footer (i.e. at
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
923 the end of the file).
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
924 Type `C-j' for newlines.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
925 The same keywords as in option `vhdl-file-header' can be used."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
926 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
927 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
928
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
929 (defcustom vhdl-company-name ""
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
930 "*Name of company to insert in file header.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
931 See option `vhdl-file-header'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
932 :type 'string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
933 :group 'vhdl-header)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
934
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
935 (defcustom vhdl-copyright-string "\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
936 -------------------------------------------------------------------------------
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
937 -- Copyright (c) <year> <company>
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
938 "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
939 "*Copyright string to insert in file header.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
940 Can be multi-line string (type `C-j' for newline) and contain other file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
941 header keywords (see option `vhdl-file-header')."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
942 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
943 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
944
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
945 (defcustom vhdl-platform-spec ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
946 "*Specification of VHDL platform to insert in file header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
947 The platform specification should contain names and versions of the
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
948 simulation and synthesis tools used.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
949 See option `vhdl-file-header'."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
950 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
951 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
952
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
953 (defcustom vhdl-date-format "%Y-%m-%d"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
954 "*Specifies the date format to use in the header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
955 This string is passed as argument to the command `format-time-string'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
956 For more information on format strings, see the documentation for the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
957 `format-time-string' command (C-h f `format-time-string')."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
958 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
959 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
960
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
961 (defcustom vhdl-modify-date-prefix-string "-- Last update: "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
962 "*Prefix string of modification date in VHDL file header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
963 If actualization of the modification date is called (menu,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
964 `\\[vhdl-template-modify]'), this string is searched and the rest
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
965 of the line replaced by the current date."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
966 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
967 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
968
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
969 (defcustom vhdl-modify-date-on-saving t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
970 "*Non-nil means update the modification date when the buffer is saved.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
971 Calls function `\\[vhdl-template-modify]').
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
972
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
973 NOTE: Activate the new setting in a VHDL buffer by using the menu entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
974 \"Activate Options\"."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
975 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
976 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
977
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
978
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
979 (defgroup vhdl-sequential-process nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
980 "Customizations for sequential processes."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
981 :group 'vhdl-template)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
982
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
983 (defcustom vhdl-reset-kind 'async
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
984 "*Specifies which kind of reset to use in sequential processes."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
985 :type '(choice (const :tag "None" none)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
986 (const :tag "Synchronous" sync)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
987 (const :tag "Asynchronous" async))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
988 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
989
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
990 (defcustom vhdl-reset-active-high nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
991 "*Non-nil means reset in sequential processes is active high.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
992 Nil means active low."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
993 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
994 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
995
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
996 (defcustom vhdl-clock-rising-edge t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
997 "*Non-nil means rising edge of clock triggers sequential processes.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
998 Nil means falling edge."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
999 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1000 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1001
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1002 (defcustom vhdl-clock-edge-condition 'standard
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1003 "*Syntax of the clock edge condition.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1004 Standard: \"clk'event and clk = '1'\"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1005 Function: \"rising_edge(clk)\""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1006 :type '(choice (const :tag "Standard" standard)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1007 (const :tag "Function" function))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1008 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1009
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1010 (defcustom vhdl-clock-name ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1011 "*Name of clock signal to use in templates."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1012 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1013 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1014
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1015 (defcustom vhdl-reset-name ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1016 "*Name of reset signal to use in templates."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1017 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1018 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1019
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1020
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1021 (defgroup vhdl-model nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1022 "Customizations for user models."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1023 :group 'vhdl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1024
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1025 (defcustom vhdl-model-alist
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1026 '(("Example Model"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1027 "<label> : process (<clock>, <reset>)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1028 begin -- process <label>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1029 if <reset> = '0' then -- asynchronous reset (active low)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1030 <cursor>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1031 elsif <clock>'event and <clock> = '1' then -- rising clock edge
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1032 if <enable> = '1' then -- synchronous load
49598
0d8b17d428b5 Trailing whitepace deleted.
Juanma Barranquero <lekktu@gmail.com>
parents: 48465
diff changeset
1033
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1034 end if;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1035 end if;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1036 end process <label>;"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1037 "e" ""))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1038 "*List of user models.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1039 VHDL models (templates) can be specified by the user in this list. They can be
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1040 invoked from the menu, through key bindings (`C-c C-m ...'), or by keyword
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1041 electrification (i.e. overriding existing or creating new keywords, see
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1042 option `vhdl-electric-keywords').
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1043 Name : name of model (string of words and spaces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1044 String : string or name of file to be inserted as model (newline: `C-j')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1045 Key Binding: key binding to invoke model, added to prefix `C-c C-m'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1046 (must be in double-quotes, examples: \"i\", \"\\C-p\", \"\\M-s\")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1047 Keyword : keyword to invoke model
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1048
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1049 The models can contain prompts to be queried. A prompt is of the form \"<...>\".
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1050 A prompt that appears several times is queried once and replaced throughout
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1051 the model. Special prompts are:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1052 <clock> : name specified in `vhdl-clock-name' (if not empty)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1053 <reset> : name specified in `vhdl-reset-name' (if not empty)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1054 <cursor>: final cursor position
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1055 File header prompts (see variable `vhdl-file-header') are automatically
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1056 replaced, so that user models can also be used to insert different types of
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1057 headers.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1058
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1059 If the string specifies an existing file name, the contents of the file is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1060 inserted, otherwise the string itself is inserted.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1061 The code within the models should be correctly indented.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1062 Type `C-j' for newlines.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1063
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1064 NOTE: Activate the new setting in a VHDL buffer by using the menu entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1065 \"Activate Options\"."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1066 :type '(repeat (list :tag "Model" :indent 2
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1067 (string :tag "Name ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1068 (string :tag "String : (type `C-j' for newline)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1069 :format "%t\n%v")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1070 (sexp :tag "Key binding" x)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1071 (string :tag "Keyword " :format "%t: %v\n")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1072 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1073 (vhdl-custom-set variable value
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1074 'vhdl-model-map-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1075 'vhdl-model-defun
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1076 'vhdl-mode-abbrev-table-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1077 'vhdl-update-mode-menu))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1078 :group 'vhdl-model)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1079
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1080
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1081 (defgroup vhdl-port nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1082 "Customizations for port translation functions."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1083 :group 'vhdl
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1084 :group 'vhdl-compose)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1085
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1086 (defcustom vhdl-include-port-comments nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1087 "*Non-nil means include port comments when a port is pasted."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1088 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1089 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1090
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1091 (defcustom vhdl-include-direction-comments nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1092 "*Non-nil means include port direction in instantiations as comments."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1093 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1094 :group 'vhdl-port)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1095
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1096 (defcustom vhdl-include-type-comments nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1097 "*Non-nil means include generic/port type in instantiations as comments."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1098 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1099 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1100
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1101 (defcustom vhdl-include-group-comments 'never
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1102 "*Specifies whether to include group comments and spacings.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1103 The comments and empty lines between groups of ports are pasted:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1104 Never : never
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1105 Declarations: in entity/component/constant/signal declarations only
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1106 Always : also in generic/port maps"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1107 :type '(choice (const :tag "Never" never)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1108 (const :tag "Declarations" decl)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1109 (const :tag "Always" always))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1110 :group 'vhdl-port)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1111
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1112 (defcustom vhdl-actual-port-name '(".*" . "\\&")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1113 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1114 "*Specifies how actual port names are obtained from formal port names.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1115 In a component instantiation, an actual port name can be obtained by
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1116 modifying the formal port name (e.g. attaching or stripping off a substring)."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1117 vhdl-name-doc-string)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1118 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1119 (string :tag "To string "))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1120 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1121
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1122 (defcustom vhdl-instance-name '(".*" . "\\&_%d")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1123 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1124 "*Specifies how an instance name is obtained.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1125 The instance name can be obtained by modifying the name of the component to be
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1126 instantiated (e.g. attaching or stripping off a substring). \"%d\" is replaced
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1127 by a unique number (starting with 1).
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1128 If TO STRING is empty, the instance name is queried."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1129 vhdl-name-doc-string)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1130 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1131 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1132 :group 'vhdl-port)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1133
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1134
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1135 (defgroup vhdl-testbench nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1136 "Customizations for testbench generation ."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1137 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1138
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1139 (defcustom vhdl-testbench-entity-name '(".*" . "\\&_tb")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1140 (concat
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1141 "*Specifies how the testbench entity name is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1142 The entity name of a testbench can be obtained by modifying the name of
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1143 the component to be tested (e.g. attaching or stripping off a substring)."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1144 vhdl-name-doc-string)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1145 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1146 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1147 :group 'vhdl-testbench)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1148
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1149 (defcustom vhdl-testbench-architecture-name '(".*" . "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1150 (concat
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1151 "*Specifies how the testbench architecture name is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1152 The testbench architecture name can be obtained by modifying the name of
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1153 the component to be tested (e.g. attaching or stripping off a substring).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1154 If TO STRING is empty, the architecture name is queried."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1155 vhdl-name-doc-string)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1156 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1157 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1158 :group 'vhdl-testbench)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1159
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1160 (defcustom vhdl-testbench-configuration-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1161 '("\\(.*\\) \\(.*\\)" . "\\1_\\2_cfg")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1162 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1163 "*Specifies how the testbench configuration name is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1164 The configuration name of a testbench can be obtained by modifying the entity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1165 and/or architecture name (e.g. attaching or stripping off a substring). The
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1166 string that is matched against the regexp is the concatenation of the entity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1167 and the architecture name separated by a space. This gives access to both
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1168 names (see default setting as example)."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1169 vhdl-name-doc-string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1170 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1171 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1172 :group 'vhdl-testbench)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1173
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1174 (defcustom vhdl-testbench-dut-name '(".*" . "DUT")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1175 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1176 "*Specifies how a DUT instance name is obtained.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1177 The design-under-test instance name (i.e. the component instantiated in the
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1178 testbench) can be obtained by modifying the component name (e.g. attaching
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1179 or stripping off a substring)."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1180 vhdl-name-doc-string)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1181 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1182 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1183 :group 'vhdl-testbench)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1184
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1185 (defcustom vhdl-testbench-include-header t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1186 "*Non-nil means include a header in automatically generated files."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1187 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1188 :group 'vhdl-testbench)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1189
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1190 (defcustom vhdl-testbench-declarations "\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1191 -- clock
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1192 signal Clk : std_logic := '1';
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1193 "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1194 "*String or file to be inserted in the testbench declarative part.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1195 If the string specifies an existing file name, the contents of the file is
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1196 inserted, otherwise the string itself is inserted in the testbench
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1197 architecture before the BEGIN keyword.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1198 Type `C-j' for newlines."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1199 :type 'string
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1200 :group 'vhdl-testbench)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1201
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1202 (defcustom vhdl-testbench-statements "\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1203 -- clock generation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1204 Clk <= not Clk after 10 ns;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1205
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1206 -- waveform generation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1207 WaveGen_Proc: process
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1208 begin
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1209 -- insert signal assignments here
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1210
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1211 wait until Clk = '1';
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1212 end process WaveGen_Proc;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1213 "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1214 "*String or file to be inserted in the testbench statement part.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1215 If the string specifies an existing file name, the contents of the file is
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1216 inserted, otherwise the string itself is inserted in the testbench
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1217 architecture before the END keyword.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1218 Type `C-j' for newlines."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1219 :type 'string
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1220 :group 'vhdl-testbench)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1221
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1222 (defcustom vhdl-testbench-initialize-signals nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1223 "*Non-nil means initialize signals with `0' when declared in testbench."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1224 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1225 :group 'vhdl-testbench)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1226
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1227 (defcustom vhdl-testbench-include-library t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1228 "*Non-nil means a library/use clause for std_logic_1164 is included."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1229 :type 'boolean
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1230 :group 'vhdl-testbench)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1231
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1232 (defcustom vhdl-testbench-include-configuration t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1233 "*Non-nil means a testbench configuration is attached at the end."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1234 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1235 :group 'vhdl-testbench)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1236
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1237 (defcustom vhdl-testbench-create-files 'single
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1238 "*Specifies whether new files should be created for the testbench.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1239 testbench entity and architecture are inserted:
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1240 None : in current buffer
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1241 Single file : in new single file
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1242 Separate files: in two separate files
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1243 Note that the files have the same name as the contained design unit."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1244 :type '(choice (const :tag "None" none)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1245 (const :tag "Single file" single)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1246 (const :tag "Separate files" separate))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1247 :group 'vhdl-testbench)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1248
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1249
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1250 (defgroup vhdl-compose nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1251 "Customizations for structural composition."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1252 :group 'vhdl)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1253
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1254 (defcustom vhdl-compose-create-files 'single
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1255 "*Specifies whether new files should be created for the new component.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1256 The component's entity and architecture are inserted:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1257 None : in current buffer
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1258 Single file : in new single file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1259 Separate files: in two separate files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1260 The file names are obtained from variables `vhdl-entity-file-name' and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1261 `vhdl-architecture-file-name'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1262 :type '(choice (const :tag "None" none)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1263 (const :tag "Single file" single)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1264 (const :tag "Separate files" separate))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1265 :group 'vhdl-compose)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1266
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1267 (defcustom vhdl-compose-include-header t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1268 "*Non-nil means include a header in automatically generated files."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1269 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1270 :group 'vhdl-compose)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1271
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1272 (defcustom vhdl-compose-architecture-name '(".*" . "str")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1273 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1274 "*Specifies how the component architecture name is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1275 The component architecture name can be obtained by modifying the entity name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1276 \(e.g. attaching or stripping off a substring).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1277 If TO STRING is empty, the architecture name is queried."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1278 vhdl-name-doc-string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1279 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1280 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1281 :group 'vhdl-compose)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1282
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1283 (defcustom vhdl-components-package-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1284 '((".*" . "\\&_components") . "components")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1285 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1286 "*Specifies how the name for the components package is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1287 The components package is a package containing all component declarations for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1288 the current design. Its name can be obtained by modifying the project name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1289 \(e.g. attaching or stripping off a substring). If no project is defined, the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1290 DIRECTORY entry is chosen."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1291 vhdl-name-doc-string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1292 :type '(cons (cons :tag "Project" :indent 2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1293 (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1294 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1295 (string :tag "Directory:\n String "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1296 :group 'vhdl-compose)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1297
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1298 (defcustom vhdl-use-components-package nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1299 "*Non-nil means use a separate components package for component declarations.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1300 Otherwise, component declarations are inserted and searched for in the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1301 architecture declarative parts."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1302 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1303 :group 'vhdl-compose)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1304
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1305
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1306 (defgroup vhdl-comment nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1307 "Customizations for comments."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1308 :group 'vhdl)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1309
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1310 (defcustom vhdl-self-insert-comments t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1311 "*Non-nil means various templates automatically insert help comments."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1312 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1313 :group 'vhdl-comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1314
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1315 (defcustom vhdl-prompt-for-comments t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1316 "*Non-nil means various templates prompt for user definable comments."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1317 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1318 :group 'vhdl-comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1319
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1320 (defcustom vhdl-inline-comment-column 40
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1321 "*Column to indent and align inline comments to.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1322 Overrides local option `comment-column'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1323
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1324 NOTE: Activate the new setting in a VHDL buffer by using the menu entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1325 \"Activate Options\"."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1326 :type 'integer
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1327 :group 'vhdl-comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1328
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1329 (defcustom vhdl-end-comment-column 79
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1330 "*End of comment column.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1331 Comments that exceed this column number are wrapped.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1332
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1333 NOTE: Activate the new setting in a VHDL buffer by using the menu entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1334 \"Activate Options\"."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1335 :type 'integer
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1336 :group 'vhdl-comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1337
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1338 (defvar end-comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1339
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1340
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1341 (defgroup vhdl-align nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1342 "Customizations for alignment."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1343 :group 'vhdl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1344
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1345 (defcustom vhdl-auto-align t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1346 "*Non-nil means align some templates automatically after generation."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1347 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1348 :group 'vhdl-align)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1349
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1350 (defcustom vhdl-align-groups t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1351 "*Non-nil means align groups of code lines separately.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1352 A group of code lines is a region of consecutive lines between two lines that
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1353 match the regexp in option `vhdl-align-group-separate'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1354 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1355 :group 'vhdl-align)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1356
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1357 (defcustom vhdl-align-group-separate "^\\s-*$"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1358 "*Regexp for matching a line that separates groups of lines for alignment.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1359 Examples:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1360 \"^\\s-*$\": matches an empty line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1361 \"^\\s-*\\(--.*\\)?$\": matches an empty line or a comment-only line"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1362 :type 'regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1363 :group 'vhdl-align)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1364
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1365 (defcustom vhdl-align-same-indent t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1366 "*Non-nil means align blocks with same indent separately.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1367 When a region or the entire buffer is aligned, the code is divided into
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1368 blocks of same indent which are aligned separately (except for argument/port
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1369 lists). This gives nicer alignment in most cases.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1370 Option `vhdl-align-groups' still applies within these blocks."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1371 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1372 :group 'vhdl-align)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1373
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1374
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1375 (defgroup vhdl-highlight nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1376 "Customizations for highlighting."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1377 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1378
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1379 (defcustom vhdl-highlight-keywords t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1380 "*Non-nil means highlight VHDL keywords and other standardized words.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1381 The following faces are used:
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1382 `font-lock-keyword-face' : keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1383 `font-lock-type-face' : standardized types
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1384 `vhdl-font-lock-attribute-face': standardized attributes
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1385 `vhdl-font-lock-enumvalue-face': standardized enumeration values
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1386 `vhdl-font-lock-function-face' : standardized function and package names
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1387
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1388 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1389 entry \"Fontify Buffer\")."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1390 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1391 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1392 (vhdl-custom-set variable value 'vhdl-font-lock-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1393 :group 'vhdl-highlight)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1394
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1395 (defcustom vhdl-highlight-names t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1396 "*Non-nil means highlight declaration names and construct labels.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1397 The following faces are used:
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1398 `font-lock-function-name-face' : names in declarations of units,
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1399 subprograms, components, as well as labels of VHDL constructs
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1400 `font-lock-type-face' : names in type/nature declarations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1401 `vhdl-font-lock-attribute-face': names in attribute declarations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1402 `font-lock-variable-name-face' : names in declarations of signals,
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1403 variables, constants, subprogram parameters, generics, and ports
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1404
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1405 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1406 entry \"Fontify Buffer\")."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1407 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1408 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1409 (vhdl-custom-set variable value 'vhdl-font-lock-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1410 :group 'vhdl-highlight)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1411
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1412 (defcustom vhdl-highlight-special-words nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1413 "*Non-nil means highlight words with special syntax.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1414 The words with syntax and color specified in option `vhdl-special-syntax-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1415 are highlighted accordingly.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1416 Can be used for visual support of naming conventions.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1417
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1418 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1419 entry \"Fontify Buffer\")."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1420 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1421 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1422 (vhdl-custom-set variable value 'vhdl-font-lock-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1423 :group 'vhdl-highlight)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1424
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1425 (defcustom vhdl-highlight-forbidden-words nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1426 "*Non-nil means highlight forbidden words.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1427 The reserved words specified in option `vhdl-forbidden-words' or having the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1428 syntax specified in option `vhdl-forbidden-syntax' are highlighted in a
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1429 warning color (face `vhdl-font-lock-reserved-words-face') to indicate not to
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1430 use them.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1431
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1432 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1433 entry \"Fontify Buffer\")."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1434 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1435 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1436 (vhdl-custom-set variable value
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1437 'vhdl-words-init 'vhdl-font-lock-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1438 :group 'vhdl-highlight)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1439
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1440 (defcustom vhdl-highlight-verilog-keywords nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1441 "*Non-nil means highlight Verilog keywords as reserved words.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1442 Verilog keywords are highlighted in a warning color (face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1443 `vhdl-font-lock-reserved-words-face') to indicate not to use them.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1444
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1445 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1446 entry \"Fontify Buffer\")."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1447 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1448 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1449 (vhdl-custom-set variable value
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1450 'vhdl-words-init 'vhdl-font-lock-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1451 :group 'vhdl-highlight)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1452
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1453 (defcustom vhdl-highlight-translate-off nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1454 "*Non-nil means background-highlight code excluded from translation.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1455 That is, all code between \"-- pragma translate_off\" and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1456 \"-- pragma translate_on\" is highlighted using a different background color
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1457 \(face `vhdl-font-lock-translate-off-face').
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1458 Note: this might slow down on-the-fly fontification (and thus editing).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1459
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1460 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1461 entry \"Fontify Buffer\")."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1462 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1463 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1464 (vhdl-custom-set variable value 'vhdl-font-lock-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1465 :group 'vhdl-highlight)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1466
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1467 (defcustom vhdl-highlight-case-sensitive nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1468 "*Non-nil means consider case for highlighting.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1469 Possible trade-off:
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1470 non-nil also upper-case VHDL words are highlighted, but case of words with
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1471 special syntax is not considered
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1472 nil only lower-case VHDL words are highlighted, but case of words with
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1473 special syntax is considered
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1474 Overrides local option `font-lock-keywords-case-fold-search'.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1475
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1476 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1477 entry \"Fontify Buffer\")."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1478 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1479 :group 'vhdl-highlight)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1480
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1481 (defcustom vhdl-special-syntax-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1482 '(("generic/constant" "\\w+_[cg]" "Gold3" "BurlyWood1")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1483 ("type" "\\w+_t" "ForestGreen" "PaleGreen")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1484 ("variable" "\\w+_v" "Grey50" "Grey80"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1485 "*List of special syntax to be highlighted.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1486 If option `vhdl-highlight-special-words' is non-nil, words with the specified
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1487 syntax (as regular expression) are highlighted in the corresponding color.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1488
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1489 Name : string of words and spaces
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1490 Regexp : regular expression describing word syntax
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1491 (e.g. \"\\\w+_c\" matches word with suffix \"_c\")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1492 Color (light): foreground color for light background
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1493 (matching color examples: Gold3, Grey50, LimeGreen, Tomato,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1494 LightSeaGreen, DodgerBlue, Gold, PaleVioletRed)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1495 Color (dark) : foreground color for dark background
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1496 (matching color examples: BurlyWood1, Grey80, Green, Coral,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1497 AquaMarine2, LightSkyBlue1, Yellow, PaleVioletRed1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1498
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1499 Can be used for visual support of naming conventions, such as highlighting
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1500 different kinds of signals (e.g. \"Clk50\", \"Rst_n\") or objects (e.g.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1501 \"Signal_s\", \"Variable_v\", \"Constant_c\") by distinguishing them using
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1502 common substrings or name suffices.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1503 For each entry, a new face is generated with the specified colors and name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1504 \"vhdl-font-lock-\" + name + \"-face\".
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1505
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1506 NOTE: Activate a changed regexp in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1507 entry \"Fontify Buffer\"). All other changes require restarting Emacs."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1508 :type '(repeat (list :tag "Face" :indent 2
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1509 (string :tag "Name ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1510 (regexp :tag "Regexp " "\\w+_")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1511 (string :tag "Color (light)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1512 (string :tag "Color (dark) ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1513 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1514 (vhdl-custom-set variable value 'vhdl-font-lock-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1515 :group 'vhdl-highlight)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1516
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1517 (defcustom vhdl-forbidden-words '()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1518 "*List of forbidden words to be highlighted.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1519 If option `vhdl-highlight-forbidden-words' is non-nil, these reserved
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1520 words are highlighted in a warning color to indicate not to use them.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1521
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1522 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1523 entry \"Fontify Buffer\")."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1524 :type '(repeat (string :format "%v"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1525 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1526 (vhdl-custom-set variable value
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1527 'vhdl-words-init 'vhdl-font-lock-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1528 :group 'vhdl-highlight)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1529
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1530 (defcustom vhdl-forbidden-syntax ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1531 "*Syntax of forbidden words to be highlighted.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1532 If option `vhdl-highlight-forbidden-words' is non-nil, words with this
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1533 syntax are highlighted in a warning color to indicate not to use them.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1534 Can be used to highlight too long identifiers (e.g. \"\\w\\w\\w\\w\\w\\w\\w\\w\\w\\w+\"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1535 highlights identifiers with 10 or more characters).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1536
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1537 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1538 entry \"Fontify Buffer\")."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1539 :type 'regexp
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1540 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1541 (vhdl-custom-set variable value
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1542 'vhdl-words-init 'vhdl-font-lock-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1543 :group 'vhdl-highlight)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1544
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1545 (defcustom vhdl-directive-keywords '("pragma" "synopsys")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1546 "*List of compiler directive keywords recognized for highlighting.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1547
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1548 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1549 entry \"Fontify Buffer\")."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1550 :type '(repeat (string :format "%v"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1551 :set (lambda (variable value)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1552 (vhdl-custom-set variable value
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1553 'vhdl-words-init 'vhdl-font-lock-init))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1554 :group 'vhdl-highlight)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1555
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1556
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1557 (defgroup vhdl-speedbar nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1558 "Customizations for speedbar."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1559 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1560
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1561 (defcustom vhdl-speedbar-auto-open nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1562 "*Non-nil means automatically open speedbar at startup.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1563 Alternatively, the speedbar can be opened from the VHDL menu."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1564 :type 'boolean
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1565 :group 'vhdl-speedbar)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1566
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1567 (defcustom vhdl-speedbar-display-mode 'files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1568 "*Specifies the default displaying mode when opening speedbar.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1569 Alternatively, the displaying mode can be selected from the speedbar menu or
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1570 by typing `f' (files), `h' (directory hierarchy) or `H' (project hierarchy)."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1571 :type '(choice (const :tag "Files" files)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1572 (const :tag "Directory hierarchy" directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1573 (const :tag "Project hierarchy" project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1574 :group 'vhdl-speedbar)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1575
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1576 (defcustom vhdl-speedbar-scan-limit '(10000000 (1000000 50))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1577 "*Limits scanning of large files and netlists.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1578 Design units: maximum file size to scan for design units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1579 Hierarchy (instances of subcomponents):
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1580 File size: maximum file size to scan for instances (in bytes)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1581 Instances per arch: maximum number of instances to scan per architecture
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1582
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1583 \"None\" always means that there is no limit.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1584 In case of files not or incompletely scanned, a warning message and the file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1585 names are printed out.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1586 Background: scanning for instances is considerably slower than scanning for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1587 design units, especially when there are many instances. These limits should
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1588 prevent the scanning of large netlists."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1589 :type '(list (choice :tag "Design units"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1590 :format "%t : %[Value Menu%] %v"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1591 (const :tag "None" nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1592 (integer :tag "File size"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1593 (list :tag "Hierarchy" :indent 2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1594 (choice :tag "File size"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1595 :format "%t : %[Value Menu%] %v"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1596 (const :tag "None" nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1597 (integer :tag "Size "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1598 (choice :tag "Instances per arch"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1599 (const :tag "None" nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1600 (integer :tag "Number "))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1601 :group 'vhdl-speedbar)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1602
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1603 (defcustom vhdl-speedbar-jump-to-unit t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1604 "*Non-nil means jump to the design unit code when opened in a buffer.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1605 The buffer cursor position is left unchanged otherwise."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1606 :type 'boolean
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1607 :group 'vhdl-speedbar)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1608
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1609 (defcustom vhdl-speedbar-update-on-saving t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1610 "*Automatically update design hierarchy when buffer is saved."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1611 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1612 :group 'vhdl-speedbar)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1613
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1614 (defcustom vhdl-speedbar-save-cache '(hierarchy display)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1615 "*Automatically save modified hierarchy caches when exiting Emacs.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1616 Hierarchy: design hierarchy information
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1617 Display: displaying information (which design units to expand)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1618 :type '(set (const :tag "Hierarchy" hierarchy)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1619 (const :tag "Display" display))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1620 :group 'vhdl-speedbar)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1621
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1622 (defcustom vhdl-speedbar-cache-file-name ".emacs-vhdl-cache-\\1-\\2"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1623 "*Name of file for saving hierarchy cache.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1624 \"\\1\" is replaced by the project name if a project is specified,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1625 \"directory\" otherwise. \"\\2\" is replaced by the user name (allows for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1626 different users to have cache files in the same directory). Can also have
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1627 an absolute path (i.e. all caches can be stored in one global directory)."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1628 :type 'string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1629 :group 'vhdl-speedbar)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1630
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1631
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1632 (defgroup vhdl-menu nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1633 "Customizations for menues."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1634 :group 'vhdl)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1635
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1636 (defcustom vhdl-index-menu nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1637 "*Non-nil means add an index menu for a source file when loading.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1638 Alternatively, the speedbar can be used. Note that the index menu scans a file
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1639 when it is opened, while speedbar only scans the file upon request."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1640 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1641 :group 'vhdl-menu)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1642
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1643 (defcustom vhdl-source-file-menu nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1644 "*Non-nil means add a menu of all source files in current directory.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1645 Alternatively, the speedbar can be used."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1646 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1647 :group 'vhdl-menu)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1648
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1649 (defcustom vhdl-hideshow-menu nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1650 "*Non-nil means add hideshow menu and functionality at startup.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1651 Hideshow can also be enabled from the VHDL Mode menu.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1652 Hideshow allows hiding code of various VHDL constructs.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1653
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1654 NOTE: Activate the new setting in a VHDL buffer by using the menu entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1655 \"Activate Options\"."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1656 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1657 :group 'vhdl-menu)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1658
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1659 (defcustom vhdl-hide-all-init nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1660 "*Non-nil means hide all design units initially after a file is loaded."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1661 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1662 :group 'vhdl-menu)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1663
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1664
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1665 (defgroup vhdl-print nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1666 "Customizations for printing."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1667 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1668
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1669 (defcustom vhdl-print-two-column t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1670 "*Non-nil means print code in two columns and landscape format.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1671 Adjusts settings in a way that postscript printing (\"File\" menu, `ps-print')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1672 prints VHDL files in a nice two-column landscape style.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1673
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1674 NOTE: Activate the new setting by restarting Emacs.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1675 Overrides `ps-print' settings locally."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1676 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1677 :group 'vhdl-print)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1678
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1679 (defcustom vhdl-print-customize-faces t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1680 "*Non-nil means use an optimized set of faces for postscript printing.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1681
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1682 NOTE: Activate the new setting by restarting Emacs.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1683 Overrides `ps-print' settings locally."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1684 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1685 :group 'vhdl-print)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1686
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1687
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1688 (defgroup vhdl-misc nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1689 "Miscellaneous customizations."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1690 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1691
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1692 (defcustom vhdl-intelligent-tab t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1693 "*Non-nil means `TAB' does indentation, word completion and tab insertion.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1694 That is, if preceeding character is part of a word then complete word,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1695 else if not at beginning of line then insert tab,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1696 else if last command was a `TAB' or `RET' then dedent one step,
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1697 else indent current line (i.e. `TAB' is bound to `vhdl-electric-tab').
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1698 If nil, TAB always indents current line (i.e. `TAB' is bound to
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1699 `indent-according-to-mode').
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1700
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1701 NOTE: Activate the new setting in a VHDL buffer by using the menu entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1702 \"Activate Options\"."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1703 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1704 :group 'vhdl-misc)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1705
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1706 (defcustom vhdl-indent-syntax-based t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1707 "*Non-nil means indent lines of code based on their syntactic context.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1708 Otherwise, a line is indented like the previous nonblank line. This can be
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1709 useful in large files where syntax-based indentation gets very slow."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1710 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1711 :group 'vhdl-misc)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1712
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1713 (defcustom vhdl-word-completion-case-sensitive nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1714 "*Non-nil means word completion using `TAB' is case sensitive.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1715 That is, `TAB' completes words that start with the same letters and case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1716 Otherwise, case is ignored."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1717 :type 'boolean
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1718 :group 'vhdl-misc)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1719
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1720 (defcustom vhdl-word-completion-in-minibuffer t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1721 "*Non-nil enables word completion in minibuffer (for template prompts).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1722
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1723 NOTE: Activate the new setting by restarting Emacs."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1724 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1725 :group 'vhdl-misc)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1726
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1727 (defcustom vhdl-underscore-is-part-of-word nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1728 "*Non-nil means consider the underscore character `_' as part of word.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1729 An identifier containing underscores is then treated as a single word in
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1730 select and move operations. All parts of an identifier separated by underscore
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1731 are treated as single words otherwise.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1732
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1733 NOTE: Activate the new setting in a VHDL buffer by using the menu entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1734 \"Activate Options\"."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1735 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1736 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1737 (vhdl-custom-set variable value 'vhdl-mode-syntax-table-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1738 :group 'vhdl-misc)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1739
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1740
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1741 (defgroup vhdl-related nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1742 "Related general customizations."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1743 :group 'vhdl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1744
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1745 ;; add related general customizations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1746 (custom-add-to-group 'vhdl-related 'hideshow 'custom-group)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1747 (if vhdl-xemacs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1748 (custom-add-to-group 'vhdl-related 'paren-mode 'custom-variable)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1749 (custom-add-to-group 'vhdl-related 'paren-showing 'custom-group))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1750 (custom-add-to-group 'vhdl-related 'ps-print 'custom-group)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1751 (custom-add-to-group 'vhdl-related 'speedbar 'custom-group)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1752 (custom-add-to-group 'vhdl-related 'line-number-mode 'custom-variable)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1753 (unless vhdl-xemacs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1754 (custom-add-to-group 'vhdl-related 'transient-mark-mode 'custom-variable))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1755 (custom-add-to-group 'vhdl-related 'user-full-name 'custom-variable)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1756 (custom-add-to-group 'vhdl-related 'mail-host-address 'custom-variable)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1757 (custom-add-to-group 'vhdl-related 'user-mail-address 'custom-variable)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1758
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1759 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1760 ;; Internal variables
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1761
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1762 (defvar vhdl-menu-max-size 20
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1763 "*Specifies the maximum size of a menu before splitting it into submenues.")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1764
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1765 (defvar vhdl-progress-interval 1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1766 "*Interval used to update progress status during long operations.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1767 If a number, percentage complete gets updated after each interval of
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1768 that many seconds. To inhibit all messages, set this option to nil.")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1769
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1770 (defvar vhdl-inhibit-startup-warnings-p nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1771 "*If non-nil, inhibits start up compatibility warnings.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1772
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1773 (defvar vhdl-strict-syntax-p nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1774 "*If non-nil, all syntactic symbols must be found in `vhdl-offsets-alist'.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1775 If the syntactic symbol for a particular line does not match a symbol
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1776 in the offsets alist, an error is generated, otherwise no error is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1777 reported and the syntactic symbol is ignored.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1778
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1779 (defvar vhdl-echo-syntactic-information-p nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1780 "*If non-nil, syntactic info is echoed when the line is indented.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1781
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1782 (defconst vhdl-offsets-alist-default
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1783 '((string . -1000)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1784 (block-open . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1785 (block-close . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1786 (statement . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1787 (statement-cont . vhdl-lineup-statement-cont)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1788 (statement-block-intro . +)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1789 (statement-case-intro . +)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1790 (case-alternative . +)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1791 (comment . vhdl-lineup-comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1792 (arglist-intro . +)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1793 (arglist-cont . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1794 (arglist-cont-nonempty . vhdl-lineup-arglist)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1795 (arglist-close . vhdl-lineup-arglist)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1796 (entity . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1797 (configuration . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1798 (package . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1799 (architecture . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1800 (package-body . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1801 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1802 "Default settings for offsets of syntactic elements.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1803 Do not change this constant! See the variable `vhdl-offsets-alist' for
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1804 more information.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1805
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1806 (defvar vhdl-offsets-alist (copy-alist vhdl-offsets-alist-default)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1807 "*Association list of syntactic element symbols and indentation offsets.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1808 As described below, each cons cell in this list has the form:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1809
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1810 (SYNTACTIC-SYMBOL . OFFSET)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1811
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1812 When a line is indented, `vhdl-mode' first determines the syntactic
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1813 context of the line by generating a list of symbols called syntactic
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1814 elements. This list can contain more than one syntactic element and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1815 the global variable `vhdl-syntactic-context' contains the context list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1816 for the line being indented. Each element in this list is actually a
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1817 cons cell of the syntactic symbol and a buffer position. This buffer
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1818 position is call the relative indent point for the line. Some
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1819 syntactic symbols may not have a relative indent point associated with
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1820 them.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1821
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1822 After the syntactic context list for a line is generated, `vhdl-mode'
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1823 calculates the absolute indentation for the line by looking at each
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1824 syntactic element in the list. First, it compares the syntactic
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1825 element against the SYNTACTIC-SYMBOL's in `vhdl-offsets-alist'. When it
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1826 finds a match, it adds the OFFSET to the column of the relative indent
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1827 point. The sum of this calculation for each element in the syntactic
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1828 list is the absolute offset for line being indented.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1829
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1830 If the syntactic element does not match any in the `vhdl-offsets-alist',
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1831 an error is generated if `vhdl-strict-syntax-p' is non-nil, otherwise
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1832 the element is ignored.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1833
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1834 Actually, OFFSET can be an integer, a function, a variable, or one of
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1835 the following symbols: `+', `-', `++', or `--'. These latter
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1836 designate positive or negative multiples of `vhdl-basic-offset',
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1837 respectively: *1, *-1, *2, and *-2. If OFFSET is a function, it is
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1838 called with a single argument containing the cons of the syntactic
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1839 element symbol and the relative indent point. The function should
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1840 return an integer offset.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1841
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1842 Here is the current list of valid syntactic element symbols:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1843
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1844 string -- inside multi-line string
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1845 block-open -- statement block open
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1846 block-close -- statement block close
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1847 statement -- a VHDL statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1848 statement-cont -- a continuation of a VHDL statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1849 statement-block-intro -- the first line in a new statement block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1850 statement-case-intro -- the first line in a case alternative block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1851 case-alternative -- a case statement alternative clause
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1852 comment -- a line containing only a comment
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1853 arglist-intro -- the first line in an argument list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1854 arglist-cont -- subsequent argument list lines when no
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1855 arguments follow on the same line as the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1856 the arglist opening paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1857 arglist-cont-nonempty -- subsequent argument list lines when at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1858 least one argument follows on the same
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1859 line as the arglist opening paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1860 arglist-close -- the solo close paren of an argument list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1861 entity -- inside an entity declaration
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1862 configuration -- inside a configuration declaration
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1863 package -- inside a package declaration
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1864 architecture -- inside an architecture body
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1865 package-body -- inside a package body")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1866
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1867 (defvar vhdl-comment-only-line-offset 0
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1868 "*Extra offset for line which contains only the start of a comment.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1869 Can contain an integer or a cons cell of the form:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1870
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1871 (NON-ANCHORED-OFFSET . ANCHORED-OFFSET)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1872
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1873 Where NON-ANCHORED-OFFSET is the amount of offset given to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1874 non-column-zero anchored comment-only lines, and ANCHORED-OFFSET is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1875 the amount of offset to give column-zero anchored comment-only lines.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1876 Just an integer as value is equivalent to (<val> . 0)")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1877
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1878 (defvar vhdl-special-indent-hook nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1879 "*Hook for user defined special indentation adjustments.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1880 This hook gets called after a line is indented by the mode.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1881
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1882 (defvar vhdl-style-alist
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1883 '(("IEEE"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1884 (vhdl-basic-offset . 4)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1885 (vhdl-offsets-alist . ())))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1886 "Styles of Indentation.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1887 Elements of this alist are of the form:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1888
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1889 (STYLE-STRING (VARIABLE . VALUE) [(VARIABLE . VALUE) ...])
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1890
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1891 where STYLE-STRING is a short descriptive string used to select a
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1892 style, VARIABLE is any `vhdl-mode' variable, and VALUE is the intended
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1893 value for that variable when using the selected style.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1894
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1895 There is one special case when VARIABLE is `vhdl-offsets-alist'. In this
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1896 case, the VALUE is a list containing elements of the form:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1897
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1898 (SYNTACTIC-SYMBOL . VALUE)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1899
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1900 as described in `vhdl-offsets-alist'. These are passed directly to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1901 `vhdl-set-offset' so there is no need to set every syntactic symbol in
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1902 your style, only those that are different from the default.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1903
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1904 ;; dynamically append the default value of most variables
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1905 (or (assoc "Default" vhdl-style-alist)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1906 (let* ((varlist '(vhdl-inhibit-startup-warnings-p
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1907 vhdl-strict-syntax-p
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1908 vhdl-echo-syntactic-information-p
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1909 vhdl-basic-offset
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1910 vhdl-offsets-alist
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1911 vhdl-comment-only-line-offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1912 (default (cons "Default"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1913 (mapcar
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1914 (function
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1915 (lambda (var)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1916 (cons var (symbol-value var))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1917 varlist))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1918 (setq vhdl-style-alist (cons default vhdl-style-alist))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1919
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1920 (defvar vhdl-mode-hook nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1921 "*Hook called by `vhdl-mode'.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1922
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1923
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1924 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1925 ;;; Required packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1926 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1927
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1928 ;; mandatory
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1929 (require 'assoc)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1930 (require 'compile) ; XEmacs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1931 (require 'easymenu)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1932 (require 'hippie-exp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1933
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1934 ;; optional (minimize warning messages during compile)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1935 (eval-when-compile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1936 (require 'font-lock)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1937 (require 'ps-print)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1938 (require 'speedbar))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1939
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1940
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1941 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1942 ;;; Compatibility
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1943 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1944
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1945 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1946 ;; XEmacs compatibility
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1947
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1948 ;; active regions
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1949 (defun vhdl-keep-region-active ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1950 "Do whatever is necessary to keep the region active in XEmacs.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1951 Ignore byte-compiler warnings you might see."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1952 (and (boundp 'zmacs-region-stays)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1953 (setq zmacs-region-stays t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1954
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1955 ;; `wildcard-to-regexp' is included only in XEmacs 21
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1956 (unless (fboundp 'wildcard-to-regexp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1957 (defun wildcard-to-regexp (wildcard)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1958 "Simplified version of `wildcard-to-regexp' from Emacs' `files.el'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1959 (let* ((i (string-match "[*?]" wildcard))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1960 (result (substring wildcard 0 i))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1961 (len (length wildcard)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1962 (when i
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1963 (while (< i len)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1964 (let ((ch (aref wildcard i)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1965 (setq result (concat result
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1966 (cond ((eq ch ?*) "[^\000]*")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1967 ((eq ch ??) "[^\000]")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1968 (t (char-to-string ch)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1969 (setq i (1+ i)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1970 (concat "\\`" result "\\'"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1971
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1972 ;; `regexp-opt' undefined (`xemacs-devel' not installed)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1973 ;; `regexp-opt' accelerates fontification by 10-20%
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1974 (unless (fboundp 'regexp-opt)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1975 ; (vhdl-warning-when-idle "Please install `xemacs-devel' package.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1976 (defun regexp-opt (strings &optional paren)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1977 (let ((open (if paren "\\(" "")) (close (if paren "\\)" "")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1978 (concat open (mapconcat 'regexp-quote strings "\\|") close))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1979
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1980 ;; `match-string-no-properties' undefined (XEmacs, what else?)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1981 (unless (fboundp 'match-string-no-properties)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1982 (defalias 'match-string-no-properties 'match-string))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1983
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1984 ;; `subst-char-in-string' undefined (XEmacs)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1985 (unless (fboundp 'subst-char-in-string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1986 (defun subst-char-in-string (fromchar tochar string &optional inplace)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1987 (let ((i (length string))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1988 (newstr (if inplace string (copy-sequence string))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1989 (while (> i 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1990 (setq i (1- i))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1991 (if (eq (aref newstr i) fromchar) (aset newstr i tochar)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1992 newstr)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1993
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1994 ;; `itimer.el': idle timer bug fix in version 1.09 (XEmacs 21.1.9)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1995 (when (and vhdl-xemacs (string< itimer-version "1.09")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1996 (not noninteractive))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1997 (load "itimer")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1998 (when (string< itimer-version "1.09")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1999 (message "WARNING: Install included `itimer.el' patch first (see INSTALL file)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2000 (beep) (sit-for 5)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2001
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2002 ;; `file-expand-wildcards' undefined (XEmacs)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2003 (unless (fboundp 'file-expand-wildcards)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2004 (defun file-expand-wildcards (pattern &optional full)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2005 "Taken from Emacs' `files.el'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2006 (let* ((nondir (file-name-nondirectory pattern))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2007 (dirpart (file-name-directory pattern))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2008 (dirs (if (and dirpart (string-match "[[*?]" dirpart))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2009 (mapcar 'file-name-as-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2010 (file-expand-wildcards (directory-file-name dirpart)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2011 (list dirpart)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2012 contents)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2013 (while dirs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2014 (when (or (null (car dirs)) ; Possible if DIRPART is not wild.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2015 (file-directory-p (directory-file-name (car dirs))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2016 (let ((this-dir-contents
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2017 (delq nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2018 (mapcar #'(lambda (name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2019 (unless (string-match "\\`\\.\\.?\\'"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2020 (file-name-nondirectory name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2021 name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2022 (directory-files (or (car dirs) ".") full
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2023 (wildcard-to-regexp nondir))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2024 (setq contents
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2025 (nconc
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2026 (if (and (car dirs) (not full))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2027 (mapcar (function (lambda (name) (concat (car dirs) name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2028 this-dir-contents)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2029 this-dir-contents)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2030 contents))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2031 (setq dirs (cdr dirs)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2032 contents)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2033
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2034 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2035 ;; Compatibility with older VHDL Mode versions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2036
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2037 (defvar vhdl-warnings nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2038 "Warnings to tell the user during start up.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2039
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2040 (defun vhdl-run-when-idle (secs repeat function)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2041 "Wait until idle, then run FUNCTION."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2042 (if vhdl-xemacs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2043 (start-itimer "vhdl-mode" function secs repeat t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2044 ; (run-with-idle-timer secs repeat function)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2045 ;; explicitely activate timer (necessary when Emacs is already idle)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2046 (aset (run-with-idle-timer secs repeat function) 0 nil)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2047
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2048 (defun vhdl-warning-when-idle (&rest args)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2049 "Wait until idle, then print out warning STRING and beep."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2050 (if noninteractive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2051 (vhdl-warning (apply 'format args) t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2052 (unless vhdl-warnings
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2053 (vhdl-run-when-idle .1 nil 'vhdl-print-warnings))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2054 (setq vhdl-warnings (cons (apply 'format args) vhdl-warnings))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2055
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2056 (defun vhdl-warning (string &optional nobeep)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2057 "Print out warning STRING and beep."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2058 (message (concat "WARNING: " string))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2059 (unless (or nobeep noninteractive) (beep)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2060
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2061 (defun vhdl-print-warnings ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2062 "Print out messages in variable `vhdl-warnings'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2063 (let ((no-warnings (length vhdl-warnings)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2064 (setq vhdl-warnings (nreverse vhdl-warnings))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2065 (while vhdl-warnings
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2066 (message (concat "WARNING: " (car vhdl-warnings)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2067 (setq vhdl-warnings (cdr vhdl-warnings)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2068 (beep)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2069 (when (> no-warnings 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2070 (message "WARNING: See warnings in message buffer (type `C-c M-m')."))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2071
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2072 ;; Backward compatibility checks and fixes
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2073 ;; option `vhdl-compiler' changed format
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2074 (unless (stringp vhdl-compiler)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2075 (setq vhdl-compiler "ModelSim")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2076 (vhdl-warning-when-idle "Option `vhdl-compiler' has changed format; customize again"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2077
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2078 ;; option `vhdl-standard' changed format
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2079 (unless (listp vhdl-standard)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2080 (setq vhdl-standard '(87 nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2081 (vhdl-warning-when-idle "Option `vhdl-standard' has changed format; customize again"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2082
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2083 ;; option `vhdl-model-alist' changed format
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2084 (when (= (length (car vhdl-model-alist)) 3)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2085 (let ((old-alist vhdl-model-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2086 new-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2087 (while old-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2088 (setq new-alist (cons (append (car old-alist) '("")) new-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2089 (setq old-alist (cdr old-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2090 (setq vhdl-model-alist (nreverse new-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2091 (customize-save-variable 'vhdl-model-alist vhdl-model-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2092
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2093 ;; option `vhdl-project-alist' changed format
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2094 (when (= (length (car vhdl-project-alist)) 3)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2095 (let ((old-alist vhdl-project-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2096 new-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2097 (while old-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2098 (setq new-alist (cons (append (car old-alist) '("")) new-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2099 (setq old-alist (cdr old-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2100 (setq vhdl-project-alist (nreverse new-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2101 (customize-save-variable 'vhdl-project-alist vhdl-project-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2102
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2103 ;; option `vhdl-project-alist' changed format (3.31.1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2104 (when (= (length (car vhdl-project-alist)) 4)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2105 (let ((old-alist vhdl-project-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2106 new-alist elem)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2107 (while old-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2108 (setq elem (car old-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2109 (setq new-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2110 (cons (list (nth 0 elem) (nth 1 elem) "" (nth 2 elem)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2111 nil "./" "work" "work/" "Makefile" (nth 3 elem))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2112 new-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2113 (setq old-alist (cdr old-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2114 (setq vhdl-project-alist (nreverse new-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2115 (vhdl-warning-when-idle "Option `vhdl-project-alist' changed format; please re-customize"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2116
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2117 ;; option `vhdl-project-alist' changed format (3.31.12)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2118 (when (= (length (car vhdl-project-alist)) 10)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2119 (let ((tmp-alist vhdl-project-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2120 (while tmp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2121 (setcdr (nthcdr 3 (car tmp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2122 (cons "" (nthcdr 4 (car tmp-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2123 (setq tmp-alist (cdr tmp-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2124 (customize-save-variable 'vhdl-project-alist vhdl-project-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2125
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2126 ;; option `vhdl-compiler-alist' changed format (3.31.1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2127 (when (= (length (car vhdl-compiler-alist)) 7)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2128 (let ((old-alist vhdl-compiler-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2129 new-alist elem)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2130 (while old-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2131 (setq elem (car old-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2132 (setq new-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2133 (cons (list (nth 0 elem) (nth 1 elem) "" "make -f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2134 (if (equal (nth 3 elem) "") nil (nth 3 elem))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2135 (nth 4 elem) "work/" "Makefile" (downcase (nth 0 elem))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2136 (nth 5 elem) (nth 6 elem) nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2137 new-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2138 (setq old-alist (cdr old-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2139 (setq vhdl-compiler-alist (nreverse new-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2140 (vhdl-warning-when-idle "Option `vhdl-compiler-alist' changed; please reset and re-customize"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2141
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2142 ;; option `vhdl-compiler-alist' changed format (3.31.10)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2143 (when (= (length (car vhdl-compiler-alist)) 12)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2144 (let ((tmp-alist vhdl-compiler-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2145 (while tmp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2146 (setcdr (nthcdr 4 (car tmp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2147 (cons "mkdir \\1" (nthcdr 5 (car tmp-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2148 (setq tmp-alist (cdr tmp-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2149 (customize-save-variable 'vhdl-compiler-alist vhdl-compiler-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2150
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2151 ;; option `vhdl-compiler-alist' changed format (3.31.11)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2152 (when (= (length (car vhdl-compiler-alist)) 13)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2153 (let ((tmp-alist vhdl-compiler-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2154 (while tmp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2155 (setcdr (nthcdr 3 (car tmp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2156 (cons "" (nthcdr 4 (car tmp-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2157 (setq tmp-alist (cdr tmp-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2158 (customize-save-variable 'vhdl-compiler-alist vhdl-compiler-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2159
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2160 ;; option `vhdl-compiler-alist' changed format (3.32.7)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2161 (when (= (length (nth 11 (car vhdl-compiler-alist))) 3)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2162 (let ((tmp-alist vhdl-compiler-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2163 (while tmp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2164 (setcdr (nthcdr 2 (nth 11 (car tmp-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2165 '(0 . nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2166 (setq tmp-alist (cdr tmp-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2167 (customize-save-variable 'vhdl-compiler-alist vhdl-compiler-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2168
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2169 ;; option `vhdl-project': empty value changed from "" to nil (3.31.1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2170 (when (equal vhdl-project "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2171 (setq vhdl-project nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2172 (customize-save-variable 'vhdl-project vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2173
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2174 ;; option `vhdl-project-file-name': changed format (3.31.17 beta)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2175 (when (stringp vhdl-project-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2176 (setq vhdl-project-file-name (list vhdl-project-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2177 (customize-save-variable 'vhdl-project-file-name vhdl-project-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2178
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2179 ;; option `speedbar-indentation-width': introduced in speedbar 0.10
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2180 (if (not (boundp 'speedbar-indentation-width))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2181 (defvar speedbar-indentation-width 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2182 ;; set default to 2 if not already customized
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2183 (unless (get 'speedbar-indentation-width 'saved-value)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2184 (setq speedbar-indentation-width 2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2185
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2186
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2187 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2188 ;;; Help functions / inline substitutions / macros
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2189 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2190
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2191 (defun vhdl-standard-p (standard)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2192 "Check if STANDARD is specified as used standard."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2193 (or (eq standard (car vhdl-standard))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2194 (memq standard (cadr vhdl-standard))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2195
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2196 (defun vhdl-project-p (&optional warning)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2197 "Return non-nil if a project is displayed, i.e. directories or files are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2198 specified."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2199 (if (assoc vhdl-project vhdl-project-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2200 vhdl-project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2201 (when (and vhdl-project warning)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2202 (vhdl-warning-when-idle "Project does not exist: \"%s\"" vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2203 nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2204
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2205 (defun vhdl-resolve-env-variable (string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2206 "Resolve environment variables in STRING."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2207 (while (string-match "\\(.*\\)${?\\(\\(\\w\\|_\\)+\\)}?\\(.*\\)" string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2208 (setq string (concat (match-string 1 string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2209 (getenv (match-string 2 string))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2210 (match-string 4 string))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2211 string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2212
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2213 (defun vhdl-default-directory ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2214 "Return the default directory of the current project or the directory of the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2215 current buffer if no project is defined."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2216 (if (vhdl-project-p)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2217 (expand-file-name (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2218 (nth 1 (aget vhdl-project-alist vhdl-project))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2219 default-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2220
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2221 (defmacro vhdl-prepare-search-1 (&rest body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2222 "Enable case insensitive search and switch to syntax table that includes '_',
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2223 then execute BODY, and finally restore the old environment. Used for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2224 consistent searching."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2225 `(let ((case-fold-search t) ; case insensitive search
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2226 (current-syntax-table (syntax-table))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2227 result
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2228 (restore-prog ; program to restore enviroment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2229 '(progn
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2230 ;; restore syntax table
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2231 (set-syntax-table current-syntax-table))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2232 ;; use extended syntax table
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2233 (set-syntax-table vhdl-mode-ext-syntax-table)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2234 ;; execute BODY safely
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2235 (setq result
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2236 (condition-case info
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2237 (progn ,@body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2238 (error (eval restore-prog) ; restore environment on error
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2239 (error (cadr info))))) ; pass error up
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2240 ;; restore environment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2241 (eval restore-prog)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2242 result))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2243
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2244 (defmacro vhdl-prepare-search-2 (&rest body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2245 "Enable case insensitive search, switch to syntax table that includes '_',
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2246 and remove `intangible' overlays, then execute BODY, and finally restore the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2247 old environment. Used for consistent searching."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2248 `(let ((case-fold-search t) ; case insensitive search
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2249 (current-syntax-table (syntax-table))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2250 result overlay-all-list overlay-intangible-list overlay
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2251 (restore-prog ; program to restore enviroment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2252 '(progn
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2253 ;; restore syntax table
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2254 (set-syntax-table current-syntax-table)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2255 ;; restore `intangible' overlays
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2256 (when (fboundp 'overlay-lists)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2257 (while overlay-intangible-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2258 (overlay-put (car overlay-intangible-list) 'intangible t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2259 (setq overlay-intangible-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2260 (cdr overlay-intangible-list)))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2261 ;; use extended syntax table
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2262 (set-syntax-table vhdl-mode-ext-syntax-table)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2263 ;; remove `intangible' overlays
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2264 (when (fboundp 'overlay-lists)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2265 (setq overlay-all-list (overlay-lists))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2266 (setq overlay-all-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2267 (append (car overlay-all-list) (cdr overlay-all-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2268 (while overlay-all-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2269 (setq overlay (car overlay-all-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2270 (when (memq 'intangible (overlay-properties overlay))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2271 (setq overlay-intangible-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2272 (cons overlay overlay-intangible-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2273 (overlay-put overlay 'intangible nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2274 (setq overlay-all-list (cdr overlay-all-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2275 ;; execute BODY safely
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2276 (setq result
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2277 (condition-case info
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2278 (progn ,@body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2279 (error (eval restore-prog) ; restore environment on error
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2280 (error (cadr info))))) ; pass error up
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2281 ;; restore environment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2282 (eval restore-prog)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2283 result))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2284
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2285 (defmacro vhdl-visit-file (file-name issue-error &rest body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2286 "Visit file FILE-NAME and execute BODY."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2287 `(if (null ,file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2288 (progn ,@body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2289 (unless (file-directory-p ,file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2290 (let ((source-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2291 (visiting-buffer (find-buffer-visiting ,file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2292 file-opened)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2293 (when (or (and visiting-buffer (set-buffer visiting-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2294 (condition-case ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2295 (progn (set-buffer (create-file-buffer ,file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2296 (setq file-opened t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2297 (vhdl-insert-file-contents ,file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2298 (modify-syntax-entry ?\- ". 12" (syntax-table))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2299 (modify-syntax-entry ?\n ">" (syntax-table))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2300 (modify-syntax-entry ?\^M ">" (syntax-table))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2301 (modify-syntax-entry ?_ "w" (syntax-table))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2302 t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2303 (error
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2304 (if ,issue-error
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2305 (progn
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2306 (when file-opened (kill-buffer (current-buffer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2307 (set-buffer source-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2308 (error (format "ERROR: File cannot be opened: \"%s\"" ,file-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2309 (vhdl-warning (format "File cannot be opened: \"%s\"" ,file-name) t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2310 nil))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2311 (condition-case info
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2312 (progn ,@body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2313 (error
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2314 (if ,issue-error
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2315 (progn
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2316 (when file-opened (kill-buffer (current-buffer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2317 (set-buffer source-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2318 (error (cadr info)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2319 (vhdl-warning (cadr info))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2320 (when file-opened (kill-buffer (current-buffer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2321 (set-buffer source-buffer)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2322
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2323 (defun vhdl-insert-file-contents (filename)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2324 "Nicked from `insert-file-contents-literally', but allow coding system
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2325 conversion."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2326 (let ((format-alist nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2327 (after-insert-file-functions nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2328 (jka-compr-compression-info-list nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2329 (insert-file-contents filename t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2330
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2331 (defun vhdl-sort-alist (alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2332 "Sort alist."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2333 (sort alist (function (lambda (a b) (string< (car a) (car b))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2334
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2335 (defun vhdl-get-subdirs (directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2336 "Recursively get subdirectories of DIRECTORY."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2337 (let ((dir-list (list (file-name-as-directory directory)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2338 file-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2339 (setq file-list (vhdl-directory-files directory t "\\w.*"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2340 (while file-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2341 (when (file-directory-p (car file-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2342 (setq dir-list (append dir-list (vhdl-get-subdirs (car file-list)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2343 (setq file-list (cdr file-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2344 dir-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2345
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2346 (defun vhdl-aput (alist-symbol key &optional value)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2347 "As `aput', but delete key-value pair if VALUE is nil."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2348 (if value
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2349 (aput alist-symbol key value)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2350 (adelete alist-symbol key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2351
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2352 (defun vhdl-delete (elt list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2353 "Delete by side effect the first occurrence of ELT as a member of LIST."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2354 (setq list (cons nil list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2355 (let ((list1 list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2356 (while (and (cdr list1) (not (equal elt (cadr list1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2357 (setq list1 (cdr list1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2358 (when list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2359 (setcdr list1 (cddr list1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2360 (cdr list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2361
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2362 (defun vhdl-speedbar-refresh (&optional key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2363 "Refresh directory or project with name KEY."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2364 (when (and (boundp 'speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2365 (frame-live-p speedbar-frame))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2366 (let ((pos (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2367 (last-frame (selected-frame)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2368 (if (null key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2369 (speedbar-refresh)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2370 (select-frame speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2371 (when (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2372 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2373 (re-search-forward (concat "^\\([0-9]+:\\s-*<\\)->\\s-+" key "$") nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2374 (goto-char (match-end 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2375 (speedbar-do-function-pointer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2376 (backward-char 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2377 (speedbar-do-function-pointer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2378 (message "Refreshing speedbar...done"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2379 (select-frame last-frame)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2380
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2381 (defun vhdl-show-messages ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2382 "Get *Messages* buffer to show recent messages."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2383 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2384 (display-buffer (if vhdl-xemacs " *Message-Log*" "*Messages*")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2385
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2386 (defun vhdl-use-direct-instantiation ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2387 "Return whether direct instantiation is used."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2388 (or (eq vhdl-use-direct-instantiation 'always)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2389 (and (eq vhdl-use-direct-instantiation 'standard)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2390 (not (vhdl-standard-p '87)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2391
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2392 (defun vhdl-max-marker (marker1 marker2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2393 "Return larger marker."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2394 (if (> marker1 marker2) marker1 marker2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2395
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2396 (defun vhdl-goto-marker (marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2397 "Goto marker in appropriate buffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2398 (when (markerp marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2399 (set-buffer (marker-buffer marker)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2400 (goto-char marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2401
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2402 (defun vhdl-menu-split (list title)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2403 "Split menu LIST into several submenues, if number of
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2404 elements > `vhdl-menu-max-size'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2405 (if (> (length list) vhdl-menu-max-size)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2406 (let ((remain list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2407 (result '())
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2408 (sublist '())
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2409 (menuno 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2410 (i 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2411 (while remain
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2412 (setq sublist (cons (car remain) sublist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2413 (setq remain (cdr remain))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2414 (setq i (+ i 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2415 (if (= i vhdl-menu-max-size)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2416 (progn
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2417 (setq result (cons (cons (format "%s %s" title menuno)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2418 (nreverse sublist)) result))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2419 (setq i 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2420 (setq menuno (+ menuno 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2421 (setq sublist '()))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2422 (and sublist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2423 (setq result (cons (cons (format "%s %s" title menuno)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2424 (nreverse sublist)) result)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2425 (nreverse result))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2426 list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2427
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2428
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2429 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2430 ;;; Bindings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2431 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2432
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2433 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2434 ;; Key bindings
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2435
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2436 (defvar vhdl-template-map nil
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2437 "Keymap for VHDL templates.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2438
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2439 (defun vhdl-template-map-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2440 "Initialize `vhdl-template-map'."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2441 (setq vhdl-template-map (make-sparse-keymap))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2442 ;; key bindings for VHDL templates
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2443 (define-key vhdl-template-map "al" 'vhdl-template-alias)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2444 (define-key vhdl-template-map "ar" 'vhdl-template-architecture)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2445 (define-key vhdl-template-map "at" 'vhdl-template-assert)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2446 (define-key vhdl-template-map "ad" 'vhdl-template-attribute-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2447 (define-key vhdl-template-map "as" 'vhdl-template-attribute-spec)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2448 (define-key vhdl-template-map "bl" 'vhdl-template-block)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2449 (define-key vhdl-template-map "ca" 'vhdl-template-case-is)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2450 (define-key vhdl-template-map "cd" 'vhdl-template-component-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2451 (define-key vhdl-template-map "ci" 'vhdl-template-component-inst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2452 (define-key vhdl-template-map "cs" 'vhdl-template-conditional-signal-asst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2453 (define-key vhdl-template-map "Cb" 'vhdl-template-block-configuration)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2454 (define-key vhdl-template-map "Cc" 'vhdl-template-component-conf)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2455 (define-key vhdl-template-map "Cd" 'vhdl-template-configuration-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2456 (define-key vhdl-template-map "Cs" 'vhdl-template-configuration-spec)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2457 (define-key vhdl-template-map "co" 'vhdl-template-constant)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2458 (define-key vhdl-template-map "di" 'vhdl-template-disconnect)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2459 (define-key vhdl-template-map "el" 'vhdl-template-else)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2460 (define-key vhdl-template-map "ei" 'vhdl-template-elsif)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2461 (define-key vhdl-template-map "en" 'vhdl-template-entity)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2462 (define-key vhdl-template-map "ex" 'vhdl-template-exit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2463 (define-key vhdl-template-map "fi" 'vhdl-template-file)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2464 (define-key vhdl-template-map "fg" 'vhdl-template-for-generate)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2465 (define-key vhdl-template-map "fl" 'vhdl-template-for-loop)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2466 (define-key vhdl-template-map "\C-f" 'vhdl-template-footer)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2467 (define-key vhdl-template-map "fb" 'vhdl-template-function-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2468 (define-key vhdl-template-map "fd" 'vhdl-template-function-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2469 (define-key vhdl-template-map "ge" 'vhdl-template-generic)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2470 (define-key vhdl-template-map "gd" 'vhdl-template-group-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2471 (define-key vhdl-template-map "gt" 'vhdl-template-group-template)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2472 (define-key vhdl-template-map "\C-h" 'vhdl-template-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2473 (define-key vhdl-template-map "ig" 'vhdl-template-if-generate)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2474 (define-key vhdl-template-map "it" 'vhdl-template-if-then)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2475 (define-key vhdl-template-map "li" 'vhdl-template-library)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2476 (define-key vhdl-template-map "lo" 'vhdl-template-bare-loop)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2477 (define-key vhdl-template-map "\C-m" 'vhdl-template-modify)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2478 (define-key vhdl-template-map "\C-t" 'vhdl-template-insert-date)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2479 (define-key vhdl-template-map "ma" 'vhdl-template-map)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2480 (define-key vhdl-template-map "ne" 'vhdl-template-next)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2481 (define-key vhdl-template-map "ot" 'vhdl-template-others)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2482 (define-key vhdl-template-map "Pd" 'vhdl-template-package-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2483 (define-key vhdl-template-map "Pb" 'vhdl-template-package-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2484 (define-key vhdl-template-map "(" 'vhdl-template-paired-parens)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2485 (define-key vhdl-template-map "po" 'vhdl-template-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2486 (define-key vhdl-template-map "pb" 'vhdl-template-procedure-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2487 (define-key vhdl-template-map "pd" 'vhdl-template-procedure-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2488 (define-key vhdl-template-map "pc" 'vhdl-template-process-comb)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2489 (define-key vhdl-template-map "ps" 'vhdl-template-process-seq)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2490 (define-key vhdl-template-map "rp" 'vhdl-template-report)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2491 (define-key vhdl-template-map "rt" 'vhdl-template-return)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2492 (define-key vhdl-template-map "ss" 'vhdl-template-selected-signal-asst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2493 (define-key vhdl-template-map "si" 'vhdl-template-signal)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2494 (define-key vhdl-template-map "su" 'vhdl-template-subtype)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2495 (define-key vhdl-template-map "ty" 'vhdl-template-type)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2496 (define-key vhdl-template-map "us" 'vhdl-template-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2497 (define-key vhdl-template-map "va" 'vhdl-template-variable)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2498 (define-key vhdl-template-map "wa" 'vhdl-template-wait)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2499 (define-key vhdl-template-map "wl" 'vhdl-template-while-loop)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2500 (define-key vhdl-template-map "wi" 'vhdl-template-with)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2501 (define-key vhdl-template-map "wc" 'vhdl-template-clocked-wait)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2502 (define-key vhdl-template-map "\C-pb" 'vhdl-template-package-numeric-bit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2503 (define-key vhdl-template-map "\C-pn" 'vhdl-template-package-numeric-std)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2504 (define-key vhdl-template-map "\C-ps" 'vhdl-template-package-std-logic-1164)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2505 (define-key vhdl-template-map "\C-pA" 'vhdl-template-package-std-logic-arith)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2506 (define-key vhdl-template-map "\C-pM" 'vhdl-template-package-std-logic-misc)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2507 (define-key vhdl-template-map "\C-pS" 'vhdl-template-package-std-logic-signed)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2508 (define-key vhdl-template-map "\C-pT" 'vhdl-template-package-std-logic-textio)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2509 (define-key vhdl-template-map "\C-pU" 'vhdl-template-package-std-logic-unsigned)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2510 (define-key vhdl-template-map "\C-pt" 'vhdl-template-package-textio)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2511 (define-key vhdl-template-map "\C-dn" 'vhdl-template-directive-translate-on)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2512 (define-key vhdl-template-map "\C-df" 'vhdl-template-directive-translate-off)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2513 (define-key vhdl-template-map "\C-dN" 'vhdl-template-directive-synthesis-on)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2514 (define-key vhdl-template-map "\C-dF" 'vhdl-template-directive-synthesis-off)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2515 (define-key vhdl-template-map "\C-q" 'vhdl-template-search-prompt)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2516 (when (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2517 (define-key vhdl-template-map "br" 'vhdl-template-break)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2518 (define-key vhdl-template-map "cu" 'vhdl-template-case-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2519 (define-key vhdl-template-map "iu" 'vhdl-template-if-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2520 (define-key vhdl-template-map "lm" 'vhdl-template-limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2521 (define-key vhdl-template-map "na" 'vhdl-template-nature)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2522 (define-key vhdl-template-map "pa" 'vhdl-template-procedural)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2523 (define-key vhdl-template-map "qf" 'vhdl-template-quantity-free)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2524 (define-key vhdl-template-map "qb" 'vhdl-template-quantity-branch)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2525 (define-key vhdl-template-map "qs" 'vhdl-template-quantity-source)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2526 (define-key vhdl-template-map "sn" 'vhdl-template-subnature)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2527 (define-key vhdl-template-map "te" 'vhdl-template-terminal)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2528 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2529 (when (vhdl-standard-p 'math)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2530 (define-key vhdl-template-map "\C-pc" 'vhdl-template-package-math-complex)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2531 (define-key vhdl-template-map "\C-pr" 'vhdl-template-package-math-real)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2532 ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2533
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2534 ;; initialize template map for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2535 (vhdl-template-map-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2536
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2537 (defun vhdl-function-name (prefix string &optional postfix)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2538 "Generate a Lisp function name.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2539 PREFIX, STRING and optional POSTFIX are concatenated by '-' and spaces in
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2540 STRING are replaced by `-' and substrings are converted to lower case."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2541 (let ((name prefix))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2542 (while (string-match "\\(\\w+\\)\\s-*\\(.*\\)" string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2543 (setq name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2544 (concat name "-" (downcase (substring string 0 (match-end 1)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2545 (setq string (substring string (match-beginning 2))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2546 (when postfix (setq name (concat name "-" postfix)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2547 (intern name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2548
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2549 (defvar vhdl-model-map nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2550 "Keymap for VHDL models.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2551
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2552 (defun vhdl-model-map-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2553 "Initialize `vhdl-model-map'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2554 (setq vhdl-model-map (make-sparse-keymap))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2555 ;; key bindings for VHDL models
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2556 (let ((model-alist vhdl-model-alist) model)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2557 (while model-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2558 (setq model (car model-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2559 (define-key vhdl-model-map (nth 2 model)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2560 (vhdl-function-name "vhdl-model" (nth 0 model)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2561 (setq model-alist (cdr model-alist)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2562
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2563 ;; initialize user model map for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2564 (vhdl-model-map-init)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2565
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2566 (defvar vhdl-mode-map nil
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2567 "Keymap for VHDL Mode.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2568
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2569 (defun vhdl-mode-map-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2570 "Initialize `vhdl-mode-map'."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2571 (setq vhdl-mode-map (make-sparse-keymap))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2572 ;; template key bindings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2573 (define-key vhdl-mode-map "\C-c\C-t" vhdl-template-map)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2574 ;; model key bindings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2575 (define-key vhdl-mode-map "\C-c\C-m" vhdl-model-map)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2576 ;; standard key bindings
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2577 (define-key vhdl-mode-map "\M-a" 'vhdl-beginning-of-statement)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2578 (define-key vhdl-mode-map "\M-e" 'vhdl-end-of-statement)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2579 (define-key vhdl-mode-map "\M-\C-f" 'vhdl-forward-sexp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2580 (define-key vhdl-mode-map "\M-\C-b" 'vhdl-backward-sexp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2581 (define-key vhdl-mode-map "\M-\C-u" 'vhdl-backward-up-list)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2582 (define-key vhdl-mode-map "\M-\C-a" 'vhdl-backward-same-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2583 (define-key vhdl-mode-map "\M-\C-e" 'vhdl-forward-same-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2584 (unless vhdl-xemacs ; would override `M-backspace' in XEmacs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2585 (define-key vhdl-mode-map "\M-\C-h" 'vhdl-mark-defun))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2586 (define-key vhdl-mode-map "\M-\C-q" 'vhdl-indent-sexp)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2587 (define-key vhdl-mode-map "\M-^" 'vhdl-delete-indentation)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2588 ;; backspace/delete key bindings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2589 (define-key vhdl-mode-map [backspace] 'backward-delete-char-untabify)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2590 (unless (boundp 'delete-key-deletes-forward) ; XEmacs variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2591 (define-key vhdl-mode-map [delete] 'delete-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2592 (define-key vhdl-mode-map [(meta delete)] 'kill-word))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2593 ;; mode specific key bindings
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2594 (define-key vhdl-mode-map "\C-c\C-m\C-e" 'vhdl-electric-mode)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2595 (define-key vhdl-mode-map "\C-c\C-m\C-s" 'vhdl-stutter-mode)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2596 (define-key vhdl-mode-map "\C-c\C-s\C-p" 'vhdl-set-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2597 (define-key vhdl-mode-map "\C-c\C-p\C-d" 'vhdl-duplicate-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2598 (define-key vhdl-mode-map "\C-c\C-p\C-m" 'vhdl-import-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2599 (define-key vhdl-mode-map "\C-c\C-p\C-x" 'vhdl-export-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2600 (define-key vhdl-mode-map "\C-c\C-s\C-k" 'vhdl-set-compiler)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2601 (define-key vhdl-mode-map "\C-c\C-k" 'vhdl-compile)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2602 (define-key vhdl-mode-map "\C-c\M-\C-k" 'vhdl-make)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2603 (define-key vhdl-mode-map "\C-c\M-k" 'vhdl-generate-makefile)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2604 (define-key vhdl-mode-map "\C-c\C-p\C-w" 'vhdl-port-copy)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2605 (define-key vhdl-mode-map "\C-c\C-p\M-w" 'vhdl-port-copy)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2606 (define-key vhdl-mode-map "\C-c\C-p\C-e" 'vhdl-port-paste-entity)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2607 (define-key vhdl-mode-map "\C-c\C-p\C-c" 'vhdl-port-paste-component)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2608 (define-key vhdl-mode-map "\C-c\C-p\C-i" 'vhdl-port-paste-instance)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2609 (define-key vhdl-mode-map "\C-c\C-p\C-s" 'vhdl-port-paste-signals)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2610 (define-key vhdl-mode-map "\C-c\C-p\M-c" 'vhdl-port-paste-constants)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2611 (if vhdl-xemacs ; `... C-g' not allowed in XEmacs
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2612 (define-key vhdl-mode-map "\C-c\C-p\M-g" 'vhdl-port-paste-generic-map)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2613 (define-key vhdl-mode-map "\C-c\C-p\C-g" 'vhdl-port-paste-generic-map))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2614 (define-key vhdl-mode-map "\C-c\C-p\C-z" 'vhdl-port-paste-initializations)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2615 (define-key vhdl-mode-map "\C-c\C-p\C-t" 'vhdl-port-paste-testbench)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2616 (define-key vhdl-mode-map "\C-c\C-p\C-f" 'vhdl-port-flatten)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2617 (define-key vhdl-mode-map "\C-c\C-p\C-r" 'vhdl-port-reverse-direction)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2618 (define-key vhdl-mode-map "\C-c\C-s\C-w" 'vhdl-subprog-copy)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2619 (define-key vhdl-mode-map "\C-c\C-s\M-w" 'vhdl-subprog-copy)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2620 (define-key vhdl-mode-map "\C-c\C-s\C-d" 'vhdl-subprog-paste-declaration)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2621 (define-key vhdl-mode-map "\C-c\C-s\C-b" 'vhdl-subprog-paste-body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2622 (define-key vhdl-mode-map "\C-c\C-s\C-c" 'vhdl-subprog-paste-call)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2623 (define-key vhdl-mode-map "\C-c\C-s\C-f" 'vhdl-subprog-flatten)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2624 (define-key vhdl-mode-map "\C-c\C-c\C-n" 'vhdl-compose-new-component)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2625 (define-key vhdl-mode-map "\C-c\C-c\C-p" 'vhdl-compose-place-component)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2626 (define-key vhdl-mode-map "\C-c\C-c\C-w" 'vhdl-compose-wire-components)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2627 (define-key vhdl-mode-map "\C-c\C-c\C-k" 'vhdl-compose-components-package)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2628 (define-key vhdl-mode-map "\C-cc" 'vhdl-comment-uncomment-region)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2629 (define-key vhdl-mode-map "\C-c-" 'vhdl-comment-append-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2630 (define-key vhdl-mode-map "\C-c\M--" 'vhdl-comment-display-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2631 (define-key vhdl-mode-map "\C-c\C-i\C-l" 'indent-according-to-mode)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2632 (define-key vhdl-mode-map "\C-c\C-i\C-g" 'vhdl-indent-group)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2633 (define-key vhdl-mode-map "\M-\C-\\" 'vhdl-indent-region)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2634 (define-key vhdl-mode-map "\C-c\C-i\C-b" 'vhdl-indent-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2635 (define-key vhdl-mode-map "\C-c\C-a\C-g" 'vhdl-align-group)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2636 (define-key vhdl-mode-map "\C-c\C-a\C-a" 'vhdl-align-group)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2637 (define-key vhdl-mode-map "\C-c\C-a\C-i" 'vhdl-align-same-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2638 (define-key vhdl-mode-map "\C-c\C-a\C-l" 'vhdl-align-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2639 (define-key vhdl-mode-map "\C-c\C-a\C-d" 'vhdl-align-declarations)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2640 (define-key vhdl-mode-map "\C-c\C-a\M-a" 'vhdl-align-region)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2641 (define-key vhdl-mode-map "\C-c\C-a\C-b" 'vhdl-align-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2642 (define-key vhdl-mode-map "\C-c\C-a\C-c" 'vhdl-align-inline-comment-group)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2643 (define-key vhdl-mode-map "\C-c\C-a\M-c" 'vhdl-align-inline-comment-region)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2644 (define-key vhdl-mode-map "\C-c\C-f\C-l" 'vhdl-fill-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2645 (define-key vhdl-mode-map "\C-c\C-f\C-f" 'vhdl-fill-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2646 (define-key vhdl-mode-map "\C-c\C-f\C-g" 'vhdl-fill-group)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2647 (define-key vhdl-mode-map "\C-c\C-f\C-i" 'vhdl-fill-same-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2648 (define-key vhdl-mode-map "\C-c\C-f\M-f" 'vhdl-fill-region)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2649 (define-key vhdl-mode-map "\C-c\C-l\C-w" 'vhdl-line-kill)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2650 (define-key vhdl-mode-map "\C-c\C-l\M-w" 'vhdl-line-copy)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2651 (define-key vhdl-mode-map "\C-c\C-l\C-y" 'vhdl-line-yank)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2652 (define-key vhdl-mode-map "\C-c\C-l\t" 'vhdl-line-expand)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2653 (define-key vhdl-mode-map "\C-c\C-l\C-n" 'vhdl-line-transpose-next)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2654 (define-key vhdl-mode-map "\C-c\C-l\C-p" 'vhdl-line-transpose-previous)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2655 (define-key vhdl-mode-map "\C-c\C-l\C-o" 'vhdl-line-open)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2656 (define-key vhdl-mode-map "\C-c\C-l\C-g" 'goto-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2657 (define-key vhdl-mode-map "\C-c\C-l\C-c" 'vhdl-comment-uncomment-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2658 (define-key vhdl-mode-map "\C-c\C-x\C-p" 'vhdl-fix-clause)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2659 (define-key vhdl-mode-map "\C-c\C-x\M-c" 'vhdl-fix-case-region)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2660 (define-key vhdl-mode-map "\C-c\C-x\C-c" 'vhdl-fix-case-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2661 (define-key vhdl-mode-map "\C-c\C-x\M-w" 'vhdl-fixup-whitespace-region)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2662 (define-key vhdl-mode-map "\C-c\C-x\C-w" 'vhdl-fixup-whitespace-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2663 (define-key vhdl-mode-map "\C-c\M-b" 'vhdl-beautify-region)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2664 (define-key vhdl-mode-map "\C-c\C-b" 'vhdl-beautify-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2665 (define-key vhdl-mode-map "\C-c\C-u\C-s" 'vhdl-update-sensitivity-list-process)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2666 (define-key vhdl-mode-map "\C-c\C-u\M-s" 'vhdl-update-sensitivity-list-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2667 (define-key vhdl-mode-map "\C-cf" 'vhdl-fontify-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2668 (define-key vhdl-mode-map "\C-cs" 'vhdl-statistics-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2669 (define-key vhdl-mode-map "\C-c\M-m" 'vhdl-show-messages)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2670 (define-key vhdl-mode-map "\C-c\C-h" 'vhdl-doc-mode)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2671 (define-key vhdl-mode-map "\C-c\C-v" 'vhdl-version)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2672 (define-key vhdl-mode-map "\M-\t" 'insert-tab)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2673 ;; insert commands bindings
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2674 (define-key vhdl-mode-map "\C-c\C-i\C-t" 'vhdl-template-insert-construct)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2675 (define-key vhdl-mode-map "\C-c\C-i\C-p" 'vhdl-template-insert-package)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2676 (define-key vhdl-mode-map "\C-c\C-i\C-d" 'vhdl-template-insert-directive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2677 (define-key vhdl-mode-map "\C-c\C-i\C-m" 'vhdl-model-insert)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2678 ;; electric key bindings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2679 (define-key vhdl-mode-map " " 'vhdl-electric-space)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2680 (if vhdl-intelligent-tab
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2681 (define-key vhdl-mode-map "\t" 'vhdl-electric-tab)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2682 (define-key vhdl-mode-map "\t" 'indent-according-to-mode))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2683 (define-key vhdl-mode-map "\r" 'vhdl-electric-return)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2684 (define-key vhdl-mode-map "-" 'vhdl-electric-dash)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2685 (define-key vhdl-mode-map "[" 'vhdl-electric-open-bracket)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2686 (define-key vhdl-mode-map "]" 'vhdl-electric-close-bracket)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2687 (define-key vhdl-mode-map "'" 'vhdl-electric-quote)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2688 (define-key vhdl-mode-map ";" 'vhdl-electric-semicolon)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2689 (define-key vhdl-mode-map "," 'vhdl-electric-comma)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2690 (define-key vhdl-mode-map "." 'vhdl-electric-period)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2691 (when (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2692 (define-key vhdl-mode-map "=" 'vhdl-electric-equal)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2693
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2694 ;; initialize mode map for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2695 (vhdl-mode-map-init)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2696
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2697 ;; define special minibuffer keymap for enabling word completion in minibuffer
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2698 ;; (useful in template generator prompts)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2699 (defvar vhdl-minibuffer-local-map (copy-keymap minibuffer-local-map)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2700 "Keymap for minibuffer used in VHDL Mode.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2701
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2702 (when vhdl-word-completion-in-minibuffer
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2703 (define-key vhdl-minibuffer-local-map "\t" 'vhdl-minibuffer-tab))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2704
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2705 ;; set up electric character functions to work with
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2706 ;; `delete-selection-mode' (Emacs) and `pending-delete-mode' (XEmacs)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2707 (mapcar
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2708 (function
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2709 (lambda (sym)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2710 (put sym 'delete-selection t) ; for `delete-selection-mode' (Emacs)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2711 (put sym 'pending-delete t))) ; for `pending-delete-mode' (XEmacs)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2712 '(vhdl-electric-space
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2713 vhdl-electric-tab
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2714 vhdl-electric-return
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2715 vhdl-electric-dash
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2716 vhdl-electric-open-bracket
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2717 vhdl-electric-close-bracket
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2718 vhdl-electric-quote
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2719 vhdl-electric-semicolon
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2720 vhdl-electric-comma
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2721 vhdl-electric-period
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2722 vhdl-electric-equal))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2723
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2724 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2725 ;; Syntax table
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2726
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2727 (defvar vhdl-mode-syntax-table nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2728 "Syntax table used in `vhdl-mode' buffers.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2729
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2730 (defvar vhdl-mode-ext-syntax-table nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2731 "Syntax table extended by `_' used in `vhdl-mode' buffers.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2732
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2733 (defun vhdl-mode-syntax-table-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2734 "Initialize `vhdl-mode-syntax-table'."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2735 (setq vhdl-mode-syntax-table (make-syntax-table))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2736 ;; define punctuation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2737 (modify-syntax-entry ?\# "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2738 (modify-syntax-entry ?\$ "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2739 (modify-syntax-entry ?\% "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2740 (modify-syntax-entry ?\& "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2741 (modify-syntax-entry ?\' "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2742 (modify-syntax-entry ?\* "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2743 (modify-syntax-entry ?\+ "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2744 (modify-syntax-entry ?\. "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2745 (modify-syntax-entry ?\/ "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2746 (modify-syntax-entry ?\: "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2747 (modify-syntax-entry ?\; "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2748 (modify-syntax-entry ?\< "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2749 (modify-syntax-entry ?\= "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2750 (modify-syntax-entry ?\> "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2751 (modify-syntax-entry ?\\ "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2752 (modify-syntax-entry ?\| "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2753 ;; define string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2754 (modify-syntax-entry ?\" "\"" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2755 ;; define underscore
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2756 (when vhdl-underscore-is-part-of-word
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2757 (modify-syntax-entry ?\_ "w" vhdl-mode-syntax-table))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2758 ;; a single hyphen is punctuation, but a double hyphen starts a comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2759 (modify-syntax-entry ?\- ". 12" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2760 ;; and \n and \^M end a comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2761 (modify-syntax-entry ?\n ">" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2762 (modify-syntax-entry ?\^M ">" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2763 ;; define parentheses to match
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2764 (modify-syntax-entry ?\( "()" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2765 (modify-syntax-entry ?\) ")(" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2766 (modify-syntax-entry ?\[ "(]" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2767 (modify-syntax-entry ?\] ")[" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2768 (modify-syntax-entry ?\{ "(}" vhdl-mode-syntax-table)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2769 (modify-syntax-entry ?\} "){" vhdl-mode-syntax-table)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2770 ;; extended syntax table including '_' (for simpler search regexps)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2771 (setq vhdl-mode-ext-syntax-table (copy-syntax-table vhdl-mode-syntax-table))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2772 (modify-syntax-entry ?_ "w" vhdl-mode-ext-syntax-table))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2773
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2774 ;; initialize syntax table for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2775 (vhdl-mode-syntax-table-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2776
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2777 (defvar vhdl-syntactic-context nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2778 "Buffer local variable containing syntactic analysis list.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2779 (make-variable-buffer-local 'vhdl-syntactic-context)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2780
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2781 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2782 ;; Abbrev ook bindings
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2783
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2784 (defvar vhdl-mode-abbrev-table nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2785 "Abbrev table to use in `vhdl-mode' buffers.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2786
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2787 (defun vhdl-mode-abbrev-table-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2788 "Initialize `vhdl-mode-abbrev-table'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2789 (when vhdl-mode-abbrev-table (clear-abbrev-table vhdl-mode-abbrev-table))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2790 (define-abbrev-table 'vhdl-mode-abbrev-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2791 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2792 (when (memq 'vhdl vhdl-electric-keywords)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2793 ;; VHDL'93 keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2794 '(
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2795 ("--" "" vhdl-template-display-comment-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2796 ("abs" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2797 ("access" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2798 ("after" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2799 ("alias" "" vhdl-template-alias-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2800 ("all" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2801 ("and" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2802 ("arch" "" vhdl-template-architecture-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2803 ("architecture" "" vhdl-template-architecture-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2804 ("array" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2805 ("assert" "" vhdl-template-assert-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2806 ("attr" "" vhdl-template-attribute-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2807 ("attribute" "" vhdl-template-attribute-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2808 ("begin" "" vhdl-template-default-indent-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2809 ("block" "" vhdl-template-block-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2810 ("body" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2811 ("buffer" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2812 ("bus" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2813 ("case" "" vhdl-template-case-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2814 ("comp" "" vhdl-template-component-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2815 ("component" "" vhdl-template-component-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2816 ("cond" "" vhdl-template-conditional-signal-asst-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2817 ("conditional" "" vhdl-template-conditional-signal-asst-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2818 ("conf" "" vhdl-template-configuration-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2819 ("configuration" "" vhdl-template-configuration-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2820 ("cons" "" vhdl-template-constant-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2821 ("constant" "" vhdl-template-constant-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2822 ("disconnect" "" vhdl-template-disconnect-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2823 ("downto" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2824 ("else" "" vhdl-template-else-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2825 ("elseif" "" vhdl-template-elsif-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2826 ("elsif" "" vhdl-template-elsif-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2827 ("end" "" vhdl-template-default-indent-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2828 ("entity" "" vhdl-template-entity-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2829 ("exit" "" vhdl-template-exit-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2830 ("file" "" vhdl-template-file-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2831 ("for" "" vhdl-template-for-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2832 ("func" "" vhdl-template-function-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2833 ("function" "" vhdl-template-function-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2834 ("generic" "" vhdl-template-generic-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2835 ("group" "" vhdl-template-group-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2836 ("guarded" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2837 ("if" "" vhdl-template-if-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2838 ("impure" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2839 ("in" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2840 ("inertial" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2841 ("inout" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2842 ("inst" "" vhdl-template-instance-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2843 ("instance" "" vhdl-template-instance-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2844 ("is" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2845 ("label" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2846 ("library" "" vhdl-template-library-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2847 ("linkage" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2848 ("literal" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2849 ("loop" "" vhdl-template-bare-loop-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2850 ("map" "" vhdl-template-map-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2851 ("mod" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2852 ("nand" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2853 ("new" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2854 ("next" "" vhdl-template-next-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2855 ("nor" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2856 ("not" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2857 ("null" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2858 ("of" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2859 ("on" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2860 ("open" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2861 ("or" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2862 ("others" "" vhdl-template-others-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2863 ("out" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2864 ("pack" "" vhdl-template-package-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2865 ("package" "" vhdl-template-package-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2866 ("port" "" vhdl-template-port-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2867 ("postponed" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2868 ("procedure" "" vhdl-template-procedure-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2869 ("process" "" vhdl-template-process-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2870 ("pure" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2871 ("range" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2872 ("record" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2873 ("register" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2874 ("reject" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2875 ("rem" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2876 ("report" "" vhdl-template-report-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2877 ("return" "" vhdl-template-return-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2878 ("rol" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2879 ("ror" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2880 ("select" "" vhdl-template-selected-signal-asst-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2881 ("severity" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2882 ("shared" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2883 ("sig" "" vhdl-template-signal-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2884 ("signal" "" vhdl-template-signal-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2885 ("sla" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2886 ("sll" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2887 ("sra" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2888 ("srl" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2889 ("subtype" "" vhdl-template-subtype-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2890 ("then" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2891 ("to" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2892 ("transport" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2893 ("type" "" vhdl-template-type-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2894 ("unaffected" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2895 ("units" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2896 ("until" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2897 ("use" "" vhdl-template-use-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2898 ("var" "" vhdl-template-variable-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2899 ("variable" "" vhdl-template-variable-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2900 ("wait" "" vhdl-template-wait-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2901 ("when" "" vhdl-template-when-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2902 ("while" "" vhdl-template-while-loop-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2903 ("with" "" vhdl-template-with-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2904 ("xnor" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2905 ("xor" "" vhdl-template-default-hook 0)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2906 ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2907 ;; VHDL-AMS keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2908 (when (and (memq 'vhdl vhdl-electric-keywords) (vhdl-standard-p 'ams))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2909 '(
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2910 ("across" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2911 ("break" "" vhdl-template-break-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2912 ("limit" "" vhdl-template-limit-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2913 ("nature" "" vhdl-template-nature-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2914 ("noise" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2915 ("procedural" "" vhdl-template-procedural-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2916 ("quantity" "" vhdl-template-quantity-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2917 ("reference" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2918 ("spectrum" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2919 ("subnature" "" vhdl-template-subnature-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2920 ("terminal" "" vhdl-template-terminal-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2921 ("through" "" vhdl-template-default-hook 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2922 ("tolerance" "" vhdl-template-default-hook 0)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2923 ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2924 ;; user model keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2925 (when (memq 'user vhdl-electric-keywords)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2926 (let ((alist vhdl-model-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2927 abbrev-list keyword)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2928 (while alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2929 (setq keyword (nth 3 (car alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2930 (unless (equal keyword "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2931 (setq abbrev-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2932 (cons (list keyword ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2933 (vhdl-function-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2934 "vhdl-model" (nth 0 (car alist)) "hook") 0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2935 abbrev-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2936 (setq alist (cdr alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2937 abbrev-list)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2938
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2939 ;; initialize abbrev table for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2940 (vhdl-mode-abbrev-table-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2941
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2942 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2943 ;; Template completion lists
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2944
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2945 (defvar vhdl-template-construct-alist nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2946 "List of built-in construct templates.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2947
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2948 (defun vhdl-template-construct-alist-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2949 "Initialize `vhdl-template-construct-alist'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2950 (setq
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2951 vhdl-template-construct-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2952 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2953 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2954 ("alias declaration" vhdl-template-alias)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2955 ("architecture body" vhdl-template-architecture)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2956 ("assertion" vhdl-template-assert)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2957 ("attribute declaration" vhdl-template-attribute-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2958 ("attribute specification" vhdl-template-attribute-spec)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2959 ("block configuration" vhdl-template-block-configuration)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2960 ("block statement" vhdl-template-block)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2961 ("case statement" vhdl-template-case-is)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2962 ("component configuration" vhdl-template-component-conf)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2963 ("component declaration" vhdl-template-component-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2964 ("component instantiation statement" vhdl-template-component-inst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2965 ("conditional signal assignment" vhdl-template-conditional-signal-asst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2966 ("configuration declaration" vhdl-template-configuration-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2967 ("configuration specification" vhdl-template-configuration-spec)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2968 ("constant declaration" vhdl-template-constant)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2969 ("disconnection specification" vhdl-template-disconnect)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2970 ("entity declaration" vhdl-template-entity)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2971 ("exit statement" vhdl-template-exit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2972 ("file declaration" vhdl-template-file)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2973 ("generate statement" vhdl-template-generate)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2974 ("generic clause" vhdl-template-generic)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2975 ("group declaration" vhdl-template-group-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2976 ("group template declaration" vhdl-template-group-template)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2977 ("if statement" vhdl-template-if-then)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2978 ("library clause" vhdl-template-library)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2979 ("loop statement" vhdl-template-loop)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2980 ("next statement" vhdl-template-next)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2981 ("package declaration" vhdl-template-package-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2982 ("package body" vhdl-template-package-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2983 ("port clause" vhdl-template-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2984 ("process statement" vhdl-template-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2985 ("report statement" vhdl-template-report)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2986 ("return statement" vhdl-template-return)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2987 ("selected signal assignment" vhdl-template-selected-signal-asst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2988 ("signal declaration" vhdl-template-signal)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2989 ("subprogram declaration" vhdl-template-subprogram-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2990 ("subprogram body" vhdl-template-subprogram-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2991 ("subtype declaration" vhdl-template-subtype)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2992 ("type declaration" vhdl-template-type)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2993 ("use clause" vhdl-template-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2994 ("variable declaration" vhdl-template-variable)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2995 ("wait statement" vhdl-template-wait)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2996 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2997 (when (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2998 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2999 ("break statement" vhdl-template-break)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3000 ("nature declaration" vhdl-template-nature)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3001 ("quantity declaration" vhdl-template-quantity)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3002 ("simultaneous case statement" vhdl-template-case-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3003 ("simultaneous if statement" vhdl-template-if-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3004 ("simultaneous procedural statement" vhdl-template-procedural)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3005 ("step limit specification" vhdl-template-limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3006 ("subnature declaration" vhdl-template-subnature)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3007 ("terminal declaration" vhdl-template-terminal)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3008 )))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3009
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3010 ;; initialize for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3011 (vhdl-template-construct-alist-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3012
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3013 (defvar vhdl-template-package-alist nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3014 "List of built-in package templates.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3015
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3016 (defun vhdl-template-package-alist-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3017 "Initialize `vhdl-template-package-alist'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3018 (setq
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3019 vhdl-template-package-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3020 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3021 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3022 ("numeric_bit" vhdl-template-package-numeric-bit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3023 ("numeric_std" vhdl-template-package-numeric-std)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3024 ("std_logic_1164" vhdl-template-package-std-logic-1164)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3025 ("std_logic_arith" vhdl-template-package-std-logic-arith)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3026 ("std_logic_misc" vhdl-template-package-std-logic-misc)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3027 ("std_logic_signed" vhdl-template-package-std-logic-signed)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3028 ("std_logic_textio" vhdl-template-package-std-logic-textio)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3029 ("std_logic_unsigned" vhdl-template-package-std-logic-unsigned)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3030 ("textio" vhdl-template-package-textio)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3031 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3032 (when (vhdl-standard-p 'math)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3033 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3034 ("math_complex" vhdl-template-package-math-complex)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3035 ("math_real" vhdl-template-package-math-real)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3036 )))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3037
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3038 ;; initialize for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3039 (vhdl-template-package-alist-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3040
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3041 (defvar vhdl-template-directive-alist
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3042 '(
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3043 ("translate_on" vhdl-template-directive-translate-on)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3044 ("translate_off" vhdl-template-directive-translate-off)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3045 ("synthesis_on" vhdl-template-directive-synthesis-on)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3046 ("synthesis_off" vhdl-template-directive-synthesis-off)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3047 )
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3048 "List of built-in directive templates.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3049
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3050
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3051 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3052 ;;; Menues
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3053 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3054
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3055 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3056 ;; VHDL menu (using `easy-menu.el')
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3057
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3058 (defun vhdl-customize ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3059 "Call the customize function with `vhdl' as argument."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3060 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3061 (customize-browse 'vhdl))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3062
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3063 (defun vhdl-create-mode-menu ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3064 "Create VHDL Mode menu."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3065 `("VHDL"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3066 ,(append
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3067 '("Project"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3068 ["None" (vhdl-set-project "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3069 :style radio :selected (null vhdl-project)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3070 "--")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3071 ;; add menu entries for defined projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3072 (let ((project-alist vhdl-project-alist) menu-list name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3073 (while project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3074 (setq name (caar project-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3075 (setq menu-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3076 (cons `[,name (vhdl-set-project ,name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3077 :style radio :selected (equal ,name vhdl-project)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3078 menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3079 (setq project-alist (cdr project-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3080 (setq menu-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3081 (if vhdl-project-sort
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3082 (sort menu-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3083 (function (lambda (a b) (string< (elt a 0) (elt b 0)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3084 (nreverse menu-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3085 (vhdl-menu-split menu-list "Project"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3086 '("--" "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3087 ["Select Project..." vhdl-set-project t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3088 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3089 ["Duplicate Project" vhdl-duplicate-project vhdl-project]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3090 ["Import Project..." vhdl-import-project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3091 :keys "C-c C-p C-m" :active t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3092 ["Export Project" vhdl-export-project vhdl-project]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3093 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3094 ["Customize Project..." (customize-option 'vhdl-project-alist) t]))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3095 "--"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3096 ("Compile"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3097 ["Compile Buffer" vhdl-compile t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3098 ["Stop Compilation" kill-compilation t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3099 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3100 ["Make" vhdl-make t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3101 ["Generate Makefile" vhdl-generate-makefile t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3102 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3103 ["Next Error" next-error t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3104 ["Previous Error" previous-error t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3105 ["First Error" first-error t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3106 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3107 ,(append
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3108 '("Compiler")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3109 ;; add menu entries for defined compilers
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3110 (let ((comp-alist vhdl-compiler-alist) menu-list name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3111 (while comp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3112 (setq name (caar comp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3113 (setq menu-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3114 (cons `[,name (setq vhdl-compiler ,name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3115 :style radio :selected (equal ,name vhdl-compiler)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3116 menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3117 (setq comp-alist (cdr comp-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3118 (setq menu-list (nreverse menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3119 (vhdl-menu-split menu-list "Compiler"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3120 '("--" "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3121 ["Select Compiler..." vhdl-set-compiler t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3122 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3123 ["Customize Compiler..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3124 (customize-option 'vhdl-compiler-alist) t])))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3125 "--"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3126 ,(append
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3127 '("Template"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3128 ("VHDL Construct 1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3129 ["Alias" vhdl-template-alias t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3130 ["Architecture" vhdl-template-architecture t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3131 ["Assert" vhdl-template-assert t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3132 ["Attribute (Decl)" vhdl-template-attribute-decl t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3133 ["Attribute (Spec)" vhdl-template-attribute-spec t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3134 ["Block" vhdl-template-block t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3135 ["Case" vhdl-template-case-is t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3136 ["Component (Decl)" vhdl-template-component-decl t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3137 ["(Component) Instance" vhdl-template-component-inst t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3138 ["Conditional (Signal Asst)" vhdl-template-conditional-signal-asst t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3139 ["Configuration (Block)" vhdl-template-block-configuration t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3140 ["Configuration (Comp)" vhdl-template-component-conf t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3141 ["Configuration (Decl)" vhdl-template-configuration-decl t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3142 ["Configuration (Spec)" vhdl-template-configuration-spec t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3143 ["Constant" vhdl-template-constant t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3144 ["Disconnect" vhdl-template-disconnect t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3145 ["Else" vhdl-template-else t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3146 ["Elsif" vhdl-template-elsif t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3147 ["Entity" vhdl-template-entity t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3148 ["Exit" vhdl-template-exit t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3149 ["File" vhdl-template-file t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3150 ["For (Generate)" vhdl-template-for-generate t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3151 ["For (Loop)" vhdl-template-for-loop t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3152 ["Function (Body)" vhdl-template-function-body t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3153 ["Function (Decl)" vhdl-template-function-decl t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3154 ["Generic" vhdl-template-generic t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3155 ["Group (Decl)" vhdl-template-group-decl t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3156 ["Group (Template)" vhdl-template-group-template t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3157 ("VHDL Construct 2"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3158 ["If (Generate)" vhdl-template-if-generate t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3159 ["If (Then)" vhdl-template-if-then t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3160 ["Library" vhdl-template-library t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3161 ["Loop" vhdl-template-bare-loop t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3162 ["Map" vhdl-template-map t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3163 ["Next" vhdl-template-next t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3164 ["Others (Aggregate)" vhdl-template-others t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3165 ["Package (Decl)" vhdl-template-package-decl t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3166 ["Package (Body)" vhdl-template-package-body t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3167 ["Port" vhdl-template-port t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3168 ["Procedure (Body)" vhdl-template-procedure-body t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3169 ["Procedure (Decl)" vhdl-template-procedure-decl t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3170 ["Process (Comb)" vhdl-template-process-comb t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3171 ["Process (Seq)" vhdl-template-process-seq t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3172 ["Report" vhdl-template-report t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3173 ["Return" vhdl-template-return t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3174 ["Select" vhdl-template-selected-signal-asst t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3175 ["Signal" vhdl-template-signal t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3176 ["Subtype" vhdl-template-subtype t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3177 ["Type" vhdl-template-type t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3178 ["Use" vhdl-template-use t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3179 ["Variable" vhdl-template-variable t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3180 ["Wait" vhdl-template-wait t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3181 ["(Clocked Wait)" vhdl-template-clocked-wait t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3182 ["When" vhdl-template-when t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3183 ["While (Loop)" vhdl-template-while-loop t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3184 ["With" vhdl-template-with t]))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3185 (when (vhdl-standard-p 'ams)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3186 '(("VHDL-AMS Construct"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3187 ["Break" vhdl-template-break t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3188 ["Case (Use)" vhdl-template-case-use t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3189 ["If (Use)" vhdl-template-if-use t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3190 ["Limit" vhdl-template-limit t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3191 ["Nature" vhdl-template-nature t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3192 ["Procedural" vhdl-template-procedural t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3193 ["Quantity (Free)" vhdl-template-quantity-free t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3194 ["Quantity (Branch)" vhdl-template-quantity-branch t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3195 ["Quantity (Source)" vhdl-template-quantity-source t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3196 ["Subnature" vhdl-template-subnature t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3197 ["Terminal" vhdl-template-terminal t])))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3198 '(["Insert Construct..." vhdl-template-insert-construct
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3199 :keys "C-c C-i C-t"]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3200 "--")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3201 (list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3202 (append
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3203 '("Package")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3204 (when (vhdl-standard-p 'math)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3205 '(["math_complex" vhdl-template-package-math-complex t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3206 ["math_real" vhdl-template-package-math-real t]))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3207 '(["numeric_bit" vhdl-template-package-numeric-bit t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3208 ["numeric_std" vhdl-template-package-numeric-std t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3209 ["std_logic_1164" vhdl-template-package-std-logic-1164 t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3210 ["textio" vhdl-template-package-textio t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3211 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3212 ["std_logic_arith" vhdl-template-package-std-logic-arith t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3213 ["std_logic_signed" vhdl-template-package-std-logic-signed t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3214 ["std_logic_unsigned" vhdl-template-package-std-logic-unsigned t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3215 ["std_logic_misc" vhdl-template-package-std-logic-misc t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3216 ["std_logic_textio" vhdl-template-package-std-logic-textio t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3217 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3218 ["Insert Package..." vhdl-template-insert-package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3219 :keys "C-c C-i C-p"])))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3220 '(("Directive"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3221 ["translate_on" vhdl-template-directive-translate-on t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3222 ["translate_off" vhdl-template-directive-translate-off t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3223 ["synthesis_on" vhdl-template-directive-synthesis-on t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3224 ["synthesis_off" vhdl-template-directive-synthesis-off t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3225 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3226 ["Insert Directive..." vhdl-template-insert-directive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3227 :keys "C-c C-i C-d"])
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3228 "--"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3229 ["Insert Header" vhdl-template-header :keys "C-c C-t C-h"]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3230 ["Insert Footer" vhdl-template-footer t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3231 ["Insert Date" vhdl-template-insert-date t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3232 ["Modify Date" vhdl-template-modify :keys "C-c C-t C-m"]
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3233 "--"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3234 ["Query Next Prompt" vhdl-template-search-prompt t]))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3235 ,(append
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3236 '("Model")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3237 ;; add menu entries for defined models
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3238 (let ((model-alist vhdl-model-alist) menu-list model)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3239 (while model-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3240 (setq model (car model-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3241 (setq menu-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3242 (cons
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3243 (vector
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3244 (nth 0 model)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3245 (vhdl-function-name "vhdl-model" (nth 0 model))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3246 :keys (concat "C-c C-m " (key-description (nth 2 model))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3247 menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3248 (setq model-alist (cdr model-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3249 (setq menu-list (nreverse menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3250 (vhdl-menu-split menu-list "Model"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3251 '("--" "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3252 ["Insert Model..." vhdl-model-insert :keys "C-c C-i C-m"]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3253 ["Customize Model..." (customize-option 'vhdl-model-alist) t]))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3254 ("Port"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3255 ["Copy" vhdl-port-copy t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3256 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3257 ["Paste As Entity" vhdl-port-paste-entity vhdl-port-list]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3258 ["Paste As Component" vhdl-port-paste-component vhdl-port-list]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3259 ["Paste As Instance" vhdl-port-paste-instance
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3260 :keys "C-c C-p C-i" :active vhdl-port-list]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3261 ["Paste As Signals" vhdl-port-paste-signals vhdl-port-list]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3262 ["Paste As Constants" vhdl-port-paste-constants vhdl-port-list]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3263 ["Paste As Generic Map" vhdl-port-paste-generic-map vhdl-port-list]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3264 ["Paste As Initializations" vhdl-port-paste-initializations vhdl-port-list]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3265 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3266 ["Paste As Testbench" vhdl-port-paste-testbench vhdl-port-list]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3267 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3268 ["Flatten" vhdl-port-flatten
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3269 :style toggle :selected vhdl-port-flattened :active vhdl-port-list]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3270 ["Reverse Direction" vhdl-port-reverse-direction
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3271 :style toggle :selected vhdl-port-reversed-direction :active vhdl-port-list])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3272 ("Compose"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3273 ["New Component" vhdl-compose-new-component t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3274 ["Place Component" vhdl-compose-place-component vhdl-port-list]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3275 ["Wire Components" vhdl-compose-wire-components t]
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3276 "--"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3277 ["Generate Components Package" vhdl-compose-components-package t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3278 ("Subprogram"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3279 ["Copy" vhdl-subprog-copy t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3280 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3281 ["Paste As Declaration" vhdl-subprog-paste-declaration vhdl-subprog-list]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3282 ["Paste As Body" vhdl-subprog-paste-body vhdl-subprog-list]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3283 ["Paste As Call" vhdl-subprog-paste-call vhdl-subprog-list]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3284 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3285 ["Flatten" vhdl-subprog-flatten
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3286 :style toggle :selected vhdl-subprog-flattened :active vhdl-subprog-list])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3287 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3288 ("Comment"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3289 ["(Un)Comment Out Region" vhdl-comment-uncomment-region (mark)]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3290 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3291 ["Insert Inline Comment" vhdl-comment-append-inline t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3292 ["Insert Horizontal Line" vhdl-comment-display-line t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3293 ["Insert Display Comment" vhdl-comment-display t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3294 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3295 ["Fill Comment" fill-paragraph t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3296 ["Fill Comment Region" fill-region (mark)]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3297 ["Kill Comment Region" vhdl-comment-kill-region (mark)]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3298 ["Kill Inline Comment Region" vhdl-comment-kill-inline-region (mark)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3299 ("Line"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3300 ["Kill" vhdl-line-kill t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3301 ["Copy" vhdl-line-copy t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3302 ["Yank" vhdl-line-yank t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3303 ["Expand" vhdl-line-expand t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3304 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3305 ["Transpose Next" vhdl-line-transpose-next t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3306 ["Transpose Prev" vhdl-line-transpose-previous t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3307 ["Open" vhdl-line-open t]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3308 ["Join" vhdl-delete-indentation t]
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3309 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3310 ["Goto" goto-line t]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3311 ["(Un)Comment Out" vhdl-comment-uncomment-line t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3312 ("Move"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3313 ["Forward Statement" vhdl-end-of-statement t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3314 ["Backward Statement" vhdl-beginning-of-statement t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3315 ["Forward Expression" vhdl-forward-sexp t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3316 ["Backward Expression" vhdl-backward-sexp t]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3317 ["Forward Same Indent" vhdl-forward-same-indent t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3318 ["Backward Same Indent" vhdl-backward-same-indent t]
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3319 ["Forward Function" vhdl-end-of-defun t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3320 ["Backward Function" vhdl-beginning-of-defun t]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3321 ["Mark Function" vhdl-mark-defun t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3322 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3323 ("Indent"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3324 ["Line" indent-according-to-mode :keys "C-c C-i C-l"]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3325 ["Group" vhdl-indent-group :keys "C-c C-i C-g"]
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3326 ["Region" vhdl-indent-region (mark)]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3327 ["Buffer" vhdl-indent-buffer :keys "C-c C-i C-b"])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3328 ("Align"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3329 ["Group" vhdl-align-group t]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3330 ["Same Indent" vhdl-align-same-indent :keys "C-c C-a C-i"]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3331 ["List" vhdl-align-list t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3332 ["Declarations" vhdl-align-declarations t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3333 ["Region" vhdl-align-region (mark)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3334 ["Buffer" vhdl-align-buffer t]
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3335 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3336 ["Inline Comment Group" vhdl-align-inline-comment-group t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3337 ["Inline Comment Region" vhdl-align-inline-comment-region (mark)]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3338 ["Inline Comment Buffer" vhdl-align-inline-comment-buffer t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3339 ("Fill"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3340 ["List" vhdl-fill-list t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3341 ["Group" vhdl-fill-group t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3342 ["Same Indent" vhdl-fill-same-indent :keys "C-c C-f C-i"]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3343 ["Region" vhdl-fill-region (mark)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3344 ("Beautify"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3345 ["Region" vhdl-beautify-region (mark)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3346 ["Buffer" vhdl-beautify-buffer t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3347 ("Fix"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3348 ["Generic/Port Clause" vhdl-fix-clause t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3349 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3350 ["Case Region" vhdl-fix-case-region (mark)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3351 ["Case Buffer" vhdl-fix-case-buffer t]
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3352 "--"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3353 ["Whitespace Region" vhdl-fixup-whitespace-region (mark)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3354 ["Whitespace Buffer" vhdl-fixup-whitespace-buffer t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3355 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3356 ["Trailing Spaces Buffer" vhdl-remove-trailing-spaces t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3357 ("Update"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3358 ["Sensitivity List" vhdl-update-sensitivity-list-process t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3359 ["Sensitivity List Buffer" vhdl-update-sensitivity-list-buffer t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3360 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3361 ["Fontify Buffer" vhdl-fontify-buffer t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3362 ["Statistics Buffer" vhdl-statistics-buffer t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3363 ["Show Messages" vhdl-show-messages t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3364 ["Syntactic Info" vhdl-show-syntactic-information t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3365 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3366 ["Speedbar" vhdl-speedbar t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3367 ["Hide/Show" vhdl-hs-minor-mode t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3368 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3369 ("Documentation"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3370 ["VHDL Mode" vhdl-doc-mode :keys "C-c C-h"]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3371 ["Release Notes" (vhdl-doc-variable 'vhdl-doc-release-notes) t]
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3372 ["Reserved Words" (vhdl-doc-variable 'vhdl-doc-keywords) t]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3373 ["Coding Style" (vhdl-doc-variable 'vhdl-doc-coding-style) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3374 ["Version" vhdl-version t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3375 ["Bug Report..." vhdl-submit-bug-report t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3376 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3377 ("Options"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3378 ("Mode"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3379 ["Electric Mode"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3380 (progn (customize-set-variable 'vhdl-electric-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3381 (not vhdl-electric-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3382 (vhdl-mode-line-update))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3383 :style toggle :selected vhdl-electric-mode :keys "C-c C-m C-e"]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3384 ["Stutter Mode"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3385 (progn (customize-set-variable 'vhdl-stutter-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3386 (not vhdl-stutter-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3387 (vhdl-mode-line-update))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3388 :style toggle :selected vhdl-stutter-mode :keys "C-c C-m C-s"]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3389 ["Indent Tabs Mode"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3390 (progn (customize-set-variable 'vhdl-indent-tabs-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3391 (not vhdl-indent-tabs-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3392 (setq indent-tabs-mode vhdl-indent-tabs-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3393 :style toggle :selected vhdl-indent-tabs-mode]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3394 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3395 ["Customize Group..." (customize-group 'vhdl-mode) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3396 ("Project"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3397 ["Project Setup..." (customize-option 'vhdl-project-alist) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3398 ,(append
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3399 '("Selected Project at Startup"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3400 ["None" (progn (customize-set-variable 'vhdl-project nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3401 (vhdl-set-project ""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3402 :style radio :selected (null vhdl-project)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3403 "--")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3404 ;; add menu entries for defined projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3405 (let ((project-alist vhdl-project-alist) menu-list name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3406 (while project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3407 (setq name (caar project-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3408 (setq menu-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3409 (cons `[,name (progn (customize-set-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3410 'vhdl-project ,name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3411 (vhdl-set-project ,name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3412 :style radio :selected (equal ,name vhdl-project)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3413 menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3414 (setq project-alist (cdr project-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3415 (setq menu-list (nreverse menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3416 (vhdl-menu-split menu-list "Project")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3417 ["Setup File Name..." (customize-option 'vhdl-project-file-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3418 ("Auto Load Setup File"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3419 ["At Startup"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3420 (customize-set-variable 'vhdl-project-auto-load
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3421 (if (memq 'startup vhdl-project-auto-load)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3422 (delq 'startup vhdl-project-auto-load)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3423 (cons 'startup vhdl-project-auto-load)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3424 :style toggle :selected (memq 'startup vhdl-project-auto-load)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3425 ["Sort Projects"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3426 (customize-set-variable 'vhdl-project-sort (not vhdl-project-sort))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3427 :style toggle :selected vhdl-project-sort]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3428 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3429 ["Customize Group..." (customize-group 'vhdl-project) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3430 ("Compiler"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3431 ["Compiler Setup..." (customize-option 'vhdl-compiler-alist) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3432 ,(append
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3433 '("Selected Compiler at Startup")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3434 ;; add menu entries for defined compilers
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3435 (let ((comp-alist vhdl-compiler-alist) menu-list name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3436 (while comp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3437 (setq name (caar comp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3438 (setq menu-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3439 (cons `[,name (customize-set-variable 'vhdl-compiler ,name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3440 :style radio :selected (equal ,name vhdl-compiler)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3441 menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3442 (setq comp-alist (cdr comp-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3443 (setq menu-list (nreverse menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3444 (vhdl-menu-split menu-list "Compler")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3445 ["Use Local Error Regexp"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3446 (customize-set-variable 'vhdl-compile-use-local-error-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3447 (not vhdl-compile-use-local-error-regexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3448 :style toggle :selected vhdl-compile-use-local-error-regexp]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3449 ["Makefile Generation Hook..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3450 (customize-option 'vhdl-makefile-generation-hook) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3451 ["Default Library Name" (customize-option 'vhdl-default-library) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3452 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3453 ["Customize Group..." (customize-group 'vhdl-compiler) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3454 ("Style"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3455 ("VHDL Standard"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3456 ["VHDL'87"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3457 (progn (customize-set-variable 'vhdl-standard
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3458 (list '87 (cadr vhdl-standard)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3459 (vhdl-activate-customizations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3460 :style radio :selected (eq '87 (car vhdl-standard))]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3461 ["VHDL'93"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3462 (progn (customize-set-variable 'vhdl-standard
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3463 (list '93 (cadr vhdl-standard)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3464 (vhdl-activate-customizations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3465 :style radio :selected (eq '93 (car vhdl-standard))]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3466 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3467 ["VHDL-AMS"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3468 (progn (customize-set-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3469 'vhdl-standard (list (car vhdl-standard)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3470 (if (memq 'ams (cadr vhdl-standard))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3471 (delq 'ams (cadr vhdl-standard))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3472 (cons 'ams (cadr vhdl-standard)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3473 (vhdl-activate-customizations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3474 :style toggle :selected (memq 'ams (cadr vhdl-standard))]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3475 ["Math Packages"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3476 (progn (customize-set-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3477 'vhdl-standard (list (car vhdl-standard)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3478 (if (memq 'math (cadr vhdl-standard))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3479 (delq 'math (cadr vhdl-standard))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3480 (cons 'math (cadr vhdl-standard)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3481 (vhdl-activate-customizations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3482 :style toggle :selected (memq 'math (cadr vhdl-standard))])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3483 ["Indentation Offset..." (customize-option 'vhdl-basic-offset) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3484 ["Upper Case Keywords"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3485 (customize-set-variable 'vhdl-upper-case-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3486 (not vhdl-upper-case-keywords))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3487 :style toggle :selected vhdl-upper-case-keywords]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3488 ["Upper Case Types"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3489 (customize-set-variable 'vhdl-upper-case-types
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3490 (not vhdl-upper-case-types))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3491 :style toggle :selected vhdl-upper-case-types]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3492 ["Upper Case Attributes"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3493 (customize-set-variable 'vhdl-upper-case-attributes
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3494 (not vhdl-upper-case-attributes))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3495 :style toggle :selected vhdl-upper-case-attributes]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3496 ["Upper Case Enumeration Values"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3497 (customize-set-variable 'vhdl-upper-case-enum-values
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3498 (not vhdl-upper-case-enum-values))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3499 :style toggle :selected vhdl-upper-case-enum-values]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3500 ["Upper Case Constants"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3501 (customize-set-variable 'vhdl-upper-case-constants
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3502 (not vhdl-upper-case-constants))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3503 :style toggle :selected vhdl-upper-case-constants]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3504 ("Use Direct Instantiation"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3505 ["Never"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3506 (customize-set-variable 'vhdl-use-direct-instantiation 'never)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3507 :style radio :selected (eq 'never vhdl-use-direct-instantiation)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3508 ["Standard"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3509 (customize-set-variable 'vhdl-use-direct-instantiation 'standard)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3510 :style radio :selected (eq 'standard vhdl-use-direct-instantiation)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3511 ["Always"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3512 (customize-set-variable 'vhdl-use-direct-instantiation 'always)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3513 :style radio :selected (eq 'always vhdl-use-direct-instantiation)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3514 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3515 ["Customize Group..." (customize-group 'vhdl-style) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3516 ("Naming"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3517 ["Entity File Name..." (customize-option 'vhdl-entity-file-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3518 ["Architecture File Name..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3519 (customize-option 'vhdl-architecture-file-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3520 ["Package File Name..." (customize-option 'vhdl-package-file-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3521 ("File Name Case"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3522 ["As Is"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3523 (customize-set-variable 'vhdl-file-name-case 'identity)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3524 :style radio :selected (eq 'identity vhdl-file-name-case)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3525 ["Lower Case"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3526 (customize-set-variable 'vhdl-file-name-case 'downcase)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3527 :style radio :selected (eq 'downcase vhdl-file-name-case)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3528 ["Upper Case"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3529 (customize-set-variable 'vhdl-file-name-case 'upcase)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3530 :style radio :selected (eq 'upcase vhdl-file-name-case)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3531 ["Capitalize"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3532 (customize-set-variable 'vhdl-file-name-case 'capitalize)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3533 :style radio :selected (eq 'capitalize vhdl-file-name-case)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3534 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3535 ["Customize Group..." (customize-group 'vhdl-naming) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3536 ("Template"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3537 ("Electric Keywords"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3538 ["VHDL Keywords"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3539 (customize-set-variable 'vhdl-electric-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3540 (if (memq 'vhdl vhdl-electric-keywords)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3541 (delq 'vhdl vhdl-electric-keywords)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3542 (cons 'vhdl vhdl-electric-keywords)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3543 :style toggle :selected (memq 'vhdl vhdl-electric-keywords)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3544 ["User Model Keywords"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3545 (customize-set-variable 'vhdl-electric-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3546 (if (memq 'user vhdl-electric-keywords)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3547 (delq 'user vhdl-electric-keywords)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3548 (cons 'user vhdl-electric-keywords)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3549 :style toggle :selected (memq 'user vhdl-electric-keywords)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3550 ("Insert Optional Labels"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3551 ["None"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3552 (customize-set-variable 'vhdl-optional-labels 'none)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3553 :style radio :selected (eq 'none vhdl-optional-labels)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3554 ["Processes Only"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3555 (customize-set-variable 'vhdl-optional-labels 'process)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3556 :style radio :selected (eq 'process vhdl-optional-labels)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3557 ["All Constructs"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3558 (customize-set-variable 'vhdl-optional-labels 'all)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3559 :style radio :selected (eq 'all vhdl-optional-labels)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3560 ("Insert Empty Lines"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3561 ["None"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3562 (customize-set-variable 'vhdl-insert-empty-lines 'none)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3563 :style radio :selected (eq 'none vhdl-insert-empty-lines)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3564 ["Design Units Only"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3565 (customize-set-variable 'vhdl-insert-empty-lines 'unit)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3566 :style radio :selected (eq 'unit vhdl-insert-empty-lines)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3567 ["All Constructs"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3568 (customize-set-variable 'vhdl-insert-empty-lines 'all)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3569 :style radio :selected (eq 'all vhdl-insert-empty-lines)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3570 ["Argument List Indent"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3571 (customize-set-variable 'vhdl-argument-list-indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3572 (not vhdl-argument-list-indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3573 :style toggle :selected vhdl-argument-list-indent]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3574 ["Association List with Formals"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3575 (customize-set-variable 'vhdl-association-list-with-formals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3576 (not vhdl-association-list-with-formals))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3577 :style toggle :selected vhdl-association-list-with-formals]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3578 ["Conditions in Parenthesis"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3579 (customize-set-variable 'vhdl-conditions-in-parenthesis
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3580 (not vhdl-conditions-in-parenthesis))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3581 :style toggle :selected vhdl-conditions-in-parenthesis]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3582 ["Zero String..." (customize-option 'vhdl-zero-string) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3583 ["One String..." (customize-option 'vhdl-one-string) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3584 ("File Header"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3585 ["Header String..." (customize-option 'vhdl-file-header) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3586 ["Footer String..." (customize-option 'vhdl-file-footer) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3587 ["Company Name..." (customize-option 'vhdl-company-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3588 ["Copyright String..." (customize-option 'vhdl-copyright-string) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3589 ["Platform Specification..." (customize-option 'vhdl-platform-spec) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3590 ["Date Format..." (customize-option 'vhdl-date-format) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3591 ["Modify Date Prefix String..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3592 (customize-option 'vhdl-modify-date-prefix-string) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3593 ["Modify Date on Saving"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3594 (progn (customize-set-variable 'vhdl-modify-date-on-saving
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3595 (not vhdl-modify-date-on-saving))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3596 (vhdl-activate-customizations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3597 :style toggle :selected vhdl-modify-date-on-saving])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3598 ("Sequential Process"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3599 ("Kind of Reset"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3600 ["None"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3601 (customize-set-variable 'vhdl-reset-kind 'none)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3602 :style radio :selected (eq 'none vhdl-reset-kind)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3603 ["Synchronous"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3604 (customize-set-variable 'vhdl-reset-kind 'sync)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3605 :style radio :selected (eq 'sync vhdl-reset-kind)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3606 ["Asynchronous"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3607 (customize-set-variable 'vhdl-reset-kind 'async)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3608 :style radio :selected (eq 'async vhdl-reset-kind)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3609 ["Reset is Active High"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3610 (customize-set-variable 'vhdl-reset-active-high
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3611 (not vhdl-reset-active-high))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3612 :style toggle :selected vhdl-reset-active-high]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3613 ["Use Rising Clock Edge"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3614 (customize-set-variable 'vhdl-clock-rising-edge
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3615 (not vhdl-clock-rising-edge))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3616 :style toggle :selected vhdl-clock-rising-edge]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3617 ("Clock Edge Condition"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3618 ["Standard"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3619 (customize-set-variable 'vhdl-clock-edge-condition 'standard)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3620 :style radio :selected (eq 'standard vhdl-clock-edge-condition)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3621 ["Function \"rising_edge\""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3622 (customize-set-variable 'vhdl-clock-edge-condition 'function)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3623 :style radio :selected (eq 'function vhdl-clock-edge-condition)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3624 ["Clock Name..." (customize-option 'vhdl-clock-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3625 ["Reset Name..." (customize-option 'vhdl-reset-name) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3626 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3627 ["Customize Group..." (customize-group 'vhdl-template) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3628 ("Model"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3629 ["Model Definition..." (customize-option 'vhdl-model-alist) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3630 ("Port"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3631 ["Include Port Comments"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3632 (customize-set-variable 'vhdl-include-port-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3633 (not vhdl-include-port-comments))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3634 :style toggle :selected vhdl-include-port-comments]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3635 ["Include Direction Comments"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3636 (customize-set-variable 'vhdl-include-direction-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3637 (not vhdl-include-direction-comments))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3638 :style toggle :selected vhdl-include-direction-comments]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3639 ["Include Type Comments"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3640 (customize-set-variable 'vhdl-include-type-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3641 (not vhdl-include-type-comments))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3642 :style toggle :selected vhdl-include-type-comments]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3643 ("Include Group Comments"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3644 ["Never"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3645 (customize-set-variable 'vhdl-include-group-comments 'never)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3646 :style radio :selected (eq 'never vhdl-include-group-comments)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3647 ["Declarations"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3648 (customize-set-variable 'vhdl-include-group-comments 'decl)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3649 :style radio :selected (eq 'decl vhdl-include-group-comments)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3650 ["Always"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3651 (customize-set-variable 'vhdl-include-group-comments 'always)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3652 :style radio :selected (eq 'always vhdl-include-group-comments)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3653 ["Actual Port Name..." (customize-option 'vhdl-actual-port-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3654 ["Instance Name..." (customize-option 'vhdl-instance-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3655 ("Testbench"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3656 ["Entity Name..." (customize-option 'vhdl-testbench-entity-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3657 ["Architecture Name..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3658 (customize-option 'vhdl-testbench-architecture-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3659 ["Configuration Name..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3660 (customize-option 'vhdl-testbench-configuration-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3661 ["DUT Name..." (customize-option 'vhdl-testbench-dut-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3662 ["Include Header"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3663 (customize-set-variable 'vhdl-testbench-include-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3664 (not vhdl-testbench-include-header))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3665 :style toggle :selected vhdl-testbench-include-header]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3666 ["Declarations..." (customize-option 'vhdl-testbench-declarations) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3667 ["Statements..." (customize-option 'vhdl-testbench-statements) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3668 ["Initialize Signals"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3669 (customize-set-variable 'vhdl-testbench-initialize-signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3670 (not vhdl-testbench-initialize-signals))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3671 :style toggle :selected vhdl-testbench-initialize-signals]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3672 ["Include Library Clause"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3673 (customize-set-variable 'vhdl-testbench-include-library
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3674 (not vhdl-testbench-include-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3675 :style toggle :selected vhdl-testbench-include-library]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3676 ["Include Configuration"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3677 (customize-set-variable 'vhdl-testbench-include-configuration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3678 (not vhdl-testbench-include-configuration))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3679 :style toggle :selected vhdl-testbench-include-configuration]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3680 ("Create Files"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3681 ["None"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3682 (customize-set-variable 'vhdl-testbench-create-files 'none)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3683 :style radio :selected (eq 'none vhdl-testbench-create-files)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3684 ["Single"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3685 (customize-set-variable 'vhdl-testbench-create-files 'single)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3686 :style radio :selected (eq 'single vhdl-testbench-create-files)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3687 ["Separate"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3688 (customize-set-variable 'vhdl-testbench-create-files 'separate)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3689 :style radio :selected (eq 'separate vhdl-testbench-create-files)]))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3690 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3691 ["Customize Group..." (customize-group 'vhdl-port) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3692 ("Compose"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3693 ("Create Files"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3694 ["None"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3695 (customize-set-variable 'vhdl-compose-create-files 'none)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3696 :style radio :selected (eq 'none vhdl-compose-create-files)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3697 ["Single"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3698 (customize-set-variable 'vhdl-compose-create-files 'single)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3699 :style radio :selected (eq 'single vhdl-compose-create-files)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3700 ["Separate"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3701 (customize-set-variable 'vhdl-compose-create-files 'separate)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3702 :style radio :selected (eq 'separate vhdl-compose-create-files)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3703 ["Include Header"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3704 (customize-set-variable 'vhdl-compose-include-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3705 (not vhdl-compose-include-header))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3706 :style toggle :selected vhdl-compose-include-header]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3707 ["Architecture Name..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3708 (customize-option 'vhdl-compose-architecture-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3709 ["Components Package Name..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3710 (customize-option 'vhdl-components-package-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3711 ["Use Components Package"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3712 (customize-set-variable 'vhdl-use-components-package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3713 (not vhdl-use-components-package))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3714 :style toggle :selected vhdl-use-components-package]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3715 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3716 ["Customize Group..." (customize-group 'vhdl-compose) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3717 ("Comment"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3718 ["Self Insert Comments"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3719 (customize-set-variable 'vhdl-self-insert-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3720 (not vhdl-self-insert-comments))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3721 :style toggle :selected vhdl-self-insert-comments]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3722 ["Prompt for Comments"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3723 (customize-set-variable 'vhdl-prompt-for-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3724 (not vhdl-prompt-for-comments))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3725 :style toggle :selected vhdl-prompt-for-comments]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3726 ["Inline Comment Column..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3727 (customize-option 'vhdl-inline-comment-column) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3728 ["End Comment Column..." (customize-option 'vhdl-end-comment-column) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3729 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3730 ["Customize Group..." (customize-group 'vhdl-comment) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3731 ("Align"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3732 ["Auto Align Templates"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3733 (customize-set-variable 'vhdl-auto-align (not vhdl-auto-align))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3734 :style toggle :selected vhdl-auto-align]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3735 ["Align Line Groups"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3736 (customize-set-variable 'vhdl-align-groups (not vhdl-align-groups))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3737 :style toggle :selected vhdl-align-groups]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3738 ["Group Separation String..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3739 (customize-set-variable 'vhdl-align-group-separate) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3740 ["Align Lines with Same Indent"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3741 (customize-set-variable 'vhdl-align-same-indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3742 (not vhdl-align-same-indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3743 :style toggle :selected vhdl-align-same-indent]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3744 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3745 ["Customize Group..." (customize-group 'vhdl-align) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3746 ("Highlight"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3747 ["Highlighting On/Off..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3748 (customize-option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3749 (if vhdl-xemacs 'font-lock-auto-fontify 'global-font-lock-mode)) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3750 ["Highlight Keywords"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3751 (progn (customize-set-variable 'vhdl-highlight-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3752 (not vhdl-highlight-keywords))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3753 (vhdl-fontify-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3754 :style toggle :selected vhdl-highlight-keywords]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3755 ["Highlight Names"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3756 (progn (customize-set-variable 'vhdl-highlight-names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3757 (not vhdl-highlight-names))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3758 (vhdl-fontify-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3759 :style toggle :selected vhdl-highlight-names]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3760 ["Highlight Special Words"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3761 (progn (customize-set-variable 'vhdl-highlight-special-words
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3762 (not vhdl-highlight-special-words))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3763 (vhdl-fontify-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3764 :style toggle :selected vhdl-highlight-special-words]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3765 ["Highlight Forbidden Words"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3766 (progn (customize-set-variable 'vhdl-highlight-forbidden-words
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3767 (not vhdl-highlight-forbidden-words))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3768 (vhdl-fontify-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3769 :style toggle :selected vhdl-highlight-forbidden-words]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3770 ["Highlight Verilog Keywords"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3771 (progn (customize-set-variable 'vhdl-highlight-verilog-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3772 (not vhdl-highlight-verilog-keywords))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3773 (vhdl-fontify-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3774 :style toggle :selected vhdl-highlight-verilog-keywords]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3775 ["Highlight \"translate_off\""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3776 (progn (customize-set-variable 'vhdl-highlight-translate-off
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3777 (not vhdl-highlight-translate-off))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3778 (vhdl-fontify-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3779 :style toggle :selected vhdl-highlight-translate-off]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3780 ["Case Sensitive Highlighting"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3781 (progn (customize-set-variable 'vhdl-highlight-case-sensitive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3782 (not vhdl-highlight-case-sensitive))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3783 (vhdl-fontify-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3784 :style toggle :selected vhdl-highlight-case-sensitive]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3785 ["Special Syntax Definition..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3786 (customize-option 'vhdl-special-syntax-alist) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3787 ["Forbidden Words..." (customize-option 'vhdl-forbidden-words) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3788 ["Forbidden Syntax..." (customize-option 'vhdl-forbidden-syntax) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3789 ["Directive Keywords..." (customize-option 'vhdl-directive-keywords) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3790 ["Colors..." (customize-group 'vhdl-highlight-faces) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3791 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3792 ["Customize Group..." (customize-group 'vhdl-highlight) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3793 ("Speedbar"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3794 ["Auto Open at Startup"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3795 (customize-set-variable 'vhdl-speedbar-auto-open
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3796 (not vhdl-speedbar-auto-open))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3797 :style toggle :selected vhdl-speedbar-auto-open]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3798 ("Default Displaying Mode"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3799 ["Files"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3800 (customize-set-variable 'vhdl-speedbar-display-mode 'files)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3801 :style radio :selected (eq 'files vhdl-speedbar-display-mode)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3802 ["Directory Hierarchy"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3803 (customize-set-variable 'vhdl-speedbar-display-mode 'directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3804 :style radio :selected (eq 'directory vhdl-speedbar-display-mode)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3805 ["Project Hierarchy"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3806 (customize-set-variable 'vhdl-speedbar-display-mode 'project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3807 :style radio :selected (eq 'project vhdl-speedbar-display-mode)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3808 ["Indentation Offset..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3809 (customize-option 'speedbar-indentation-width) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3810 ["Scan Size Limits..." (customize-option 'vhdl-speedbar-scan-limit) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3811 ["Jump to Unit when Opening"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3812 (customize-set-variable 'vhdl-speedbar-jump-to-unit
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3813 (not vhdl-speedbar-jump-to-unit))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3814 :style toggle :selected vhdl-speedbar-jump-to-unit]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3815 ["Update Hierarchy on File Saving"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3816 (customize-set-variable 'vhdl-speedbar-update-on-saving
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3817 (not vhdl-speedbar-update-on-saving))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3818 :style toggle :selected vhdl-speedbar-update-on-saving]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3819 ("Save in Cache File"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3820 ["Hierarchy Information"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3821 (customize-set-variable 'vhdl-speedbar-save-cache
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3822 (if (memq 'hierarchy vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3823 (delq 'hierarchy vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3824 (cons 'hierarchy vhdl-speedbar-save-cache)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3825 :style toggle :selected (memq 'hierarchy vhdl-speedbar-save-cache)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3826 ["Displaying Status"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3827 (customize-set-variable 'vhdl-speedbar-save-cache
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3828 (if (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3829 (delq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3830 (cons 'display vhdl-speedbar-save-cache)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3831 :style toggle :selected (memq 'display vhdl-speedbar-save-cache)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3832 ["Cache File Name..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3833 (customize-option 'vhdl-speedbar-cache-file-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3834 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3835 ["Customize Group..." (customize-group 'vhdl-speedbar) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3836 ("Menu"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3837 ["Add Index Menu when Loading File"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3838 (progn (customize-set-variable 'vhdl-index-menu (not vhdl-index-menu))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3839 (vhdl-index-menu-init))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3840 :style toggle :selected vhdl-index-menu]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3841 ["Add Source File Menu when Loading File"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3842 (progn (customize-set-variable 'vhdl-source-file-menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3843 (not vhdl-source-file-menu))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3844 (vhdl-add-source-files-menu))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3845 :style toggle :selected vhdl-source-file-menu]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3846 ["Add Hideshow Menu at Startup"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3847 (progn (customize-set-variable 'vhdl-hideshow-menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3848 (not vhdl-hideshow-menu))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3849 (vhdl-activate-customizations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3850 :style toggle :selected vhdl-hideshow-menu]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3851 ["Hide Everything Initially"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3852 (customize-set-variable 'vhdl-hide-all-init (not vhdl-hide-all-init))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3853 :style toggle :selected vhdl-hide-all-init]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3854 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3855 ["Customize Group..." (customize-group 'vhdl-menu) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3856 ("Print"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3857 ["In Two Column Format"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3858 (progn (customize-set-variable 'vhdl-print-two-column
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3859 (not vhdl-print-two-column))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3860 (message "Activate new setting by saving options and restarting Emacs"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3861 :style toggle :selected vhdl-print-two-column]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3862 ["Use Customized Faces"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3863 (progn (customize-set-variable 'vhdl-print-customize-faces
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3864 (not vhdl-print-customize-faces))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3865 (message "Activate new setting by saving options and restarting Emacs"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3866 :style toggle :selected vhdl-print-customize-faces]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3867 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3868 ["Customize Group..." (customize-group 'vhdl-print) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3869 ("Miscellaneous"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3870 ["Use Intelligent Tab"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3871 (progn (customize-set-variable 'vhdl-intelligent-tab
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3872 (not vhdl-intelligent-tab))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3873 (vhdl-activate-customizations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3874 :style toggle :selected vhdl-intelligent-tab]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3875 ["Indent Syntax-Based"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3876 (customize-set-variable 'vhdl-indent-syntax-based
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3877 (not vhdl-indent-syntax-based))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3878 :style toggle :selected vhdl-indent-syntax-based]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3879 ["Word Completion is Case Sensitive"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3880 (customize-set-variable 'vhdl-word-completion-case-sensitive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3881 (not vhdl-word-completion-case-sensitive))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3882 :style toggle :selected vhdl-word-completion-case-sensitive]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3883 ["Word Completion in Minibuffer"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3884 (progn (customize-set-variable 'vhdl-word-completion-in-minibuffer
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3885 (not vhdl-word-completion-in-minibuffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3886 (message "Activate new setting by saving options and restarting Emacs"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3887 :style toggle :selected vhdl-word-completion-in-minibuffer]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3888 ["Underscore is Part of Word"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3889 (progn (customize-set-variable 'vhdl-underscore-is-part-of-word
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3890 (not vhdl-underscore-is-part-of-word))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3891 (vhdl-activate-customizations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3892 :style toggle :selected vhdl-underscore-is-part-of-word]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3893 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3894 ["Customize Group..." (customize-group 'vhdl-misc) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3895 ["Related..." (customize-browse 'vhdl-related) t]
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3896 "--"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3897 ["Save Options" customize-save-customized t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3898 ["Activate Options" vhdl-activate-customizations t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3899 ["Browse Options..." vhdl-customize t])))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3900
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3901 (defvar vhdl-mode-menu-list (vhdl-create-mode-menu)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3902 "VHDL Mode menu.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3903
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3904 (defun vhdl-update-mode-menu ()
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3905 "Update VHDL Mode menu."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3906 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3907 (easy-menu-remove vhdl-mode-menu-list) ; for XEmacs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3908 (setq vhdl-mode-menu-list (vhdl-create-mode-menu))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3909 (easy-menu-add vhdl-mode-menu-list) ; for XEmacs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3910 (easy-menu-define vhdl-mode-menu vhdl-mode-map
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3911 "Menu keymap for VHDL Mode." vhdl-mode-menu-list))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3912
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3913 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3914 ;; Index menu (using `imenu.el'), also used for speedbar (using `speedbar.el')
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3915
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3916 (defconst vhdl-imenu-generic-expression
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3917 '(
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3918 ("Subprogram"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3919 "^\\s-*\\(\\(\\(impure\\|pure\\)\\s-+\\|\\)function\\|procedure\\)\\s-+\\(\"?\\(\\w\\|\\s_\\)+\"?\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3920 4)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3921 ("Instance"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3922 "^\\s-*\\(\\(\\w\\|\\s_\\)+\\s-*:\\(\\s-\\|\n\\)*\\(\\w\\|\\s_\\)+\\)\\(\\s-\\|\n\\)+\\(generic\\|port\\)\\s-+map\\>"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3923 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3924 ("Component"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3925 "^\\s-*\\(component\\)\\s-+\\(\\(\\w\\|\\s_\\)+\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3926 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3927 ("Procedural"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3928 "^\\s-*\\(\\(\\w\\|\\s_\\)+\\)\\s-*:\\(\\s-\\|\n\\)*\\(procedural\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3929 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3930 ("Process"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3931 "^\\s-*\\(\\(\\w\\|\\s_\\)+\\)\\s-*:\\(\\s-\\|\n\\)*\\(\\(postponed\\s-+\\|\\)process\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3932 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3933 ("Block"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3934 "^\\s-*\\(\\(\\w\\|\\s_\\)+\\)\\s-*:\\(\\s-\\|\n\\)*\\(block\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3935 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3936 ("Package"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3937 "^\\s-*\\(package\\( body\\|\\)\\)\\s-+\\(\\(\\w\\|\\s_\\)+\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3938 3)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3939 ("Configuration"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3940 "^\\s-*\\(configuration\\)\\s-+\\(\\(\\w\\|\\s_\\)+\\s-+of\\s-+\\(\\w\\|\\s_\\)+\\)"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3941 2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3942 ("Architecture"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3943 "^\\s-*\\(architecture\\)\\s-+\\(\\(\\w\\|\\s_\\)+\\s-+of\\s-+\\(\\w\\|\\s_\\)+\\)"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3944 2)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3945 ("Entity"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3946 "^\\s-*\\(entity\\)\\s-+\\(\\(\\w\\|\\s_\\)+\\)"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3947 2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3948 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3949 "Imenu generic expression for VHDL Mode. See `imenu-generic-expression'.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3950
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3951 (defun vhdl-index-menu-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3952 "Initialize index menu."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3953 (set (make-local-variable 'imenu-case-fold-search) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3954 (set (make-local-variable 'imenu-generic-expression)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3955 vhdl-imenu-generic-expression)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3956 (when (and vhdl-index-menu (fboundp 'imenu))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3957 (if (or (not (boundp 'font-lock-maximum-size))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3958 (> font-lock-maximum-size (buffer-size)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3959 (imenu-add-to-menubar "Index")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3960 (message "Scanning buffer for index...buffer too big"))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3961
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3962 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3963 ;; Source file menu (using `easy-menu.el')
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3964
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3965 (defvar vhdl-sources-menu nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3966
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3967 (defun vhdl-directory-files (directory &optional full match)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3968 "Call `directory-files' if DIRECTORY exists, otherwise generate error
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3969 message."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3970 (if (not (file-directory-p directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3971 (vhdl-warning-when-idle "No such directory: \"%s\"" directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3972 (let ((dir (directory-files directory full match)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3973 (setq dir (delete "." dir))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3974 (setq dir (delete ".." dir))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3975 dir)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3976
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3977 (defun vhdl-get-source-files (&optional full directory)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3978 "Get list of VHDL source files in DIRECTORY or current directory."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3979 (let ((mode-alist auto-mode-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3980 filename-regexp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3981 ;; create regular expressions for matching file names
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3982 (setq filename-regexp "\\`[^.].*\\(")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3983 (while mode-alist
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3984 (when (eq (cdar mode-alist) 'vhdl-mode)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3985 (setq filename-regexp
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3986 (concat filename-regexp (caar mode-alist) "\\|")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3987 (setq mode-alist (cdr mode-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3988 (setq filename-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3989 (concat (substring filename-regexp 0
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3990 (string-match "\\\\|$" filename-regexp)) "\\)"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3991 ;; find files
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3992 (vhdl-directory-files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3993 (or directory default-directory) full filename-regexp)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3994
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3995 (defun vhdl-add-source-files-menu ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3996 "Scan directory for all VHDL source files and generate menu.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3997 The directory of the current source file is scanned."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3998 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3999 (message "Scanning directory for source files ...")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4000 (let ((newmap (current-local-map))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4001 (file-list (vhdl-get-source-files))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4002 menu-list found)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4003 ;; Create list for menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4004 (setq found nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4005 (while file-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4006 (setq found t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4007 (setq menu-list (cons (vector (car file-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4008 (list 'find-file (car file-list)) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4009 menu-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4010 (setq file-list (cdr file-list)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4011 (setq menu-list (vhdl-menu-split menu-list "Sources"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4012 (when found (setq menu-list (cons "--" menu-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4013 (setq menu-list (cons ["*Rescan*" vhdl-add-source-files-menu t] menu-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4014 (setq menu-list (cons "Sources" menu-list))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4015 ;; Create menu
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4016 (easy-menu-add menu-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4017 (easy-menu-define vhdl-sources-menu newmap
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4018 "VHDL source files menu" menu-list))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4019 (message ""))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4020
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4021
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4022 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4023 ;;; Mode definition
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4024 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4025 ;; performs all buffer local initializations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4026
21446
830023d4cec6 *** empty log message ***
Dan Nicolaescu <done@ece.arizona.edu>
parents: 20665
diff changeset
4027 ;;;###autoload
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4028 (defun vhdl-mode ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4029 "Major mode for editing VHDL code.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4030
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4031 Usage:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4032 ------
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4033
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4034 TEMPLATE INSERTION (electrification):
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4035 After typing a VHDL keyword and entering `SPC', you are prompted for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4036 arguments while a template is generated for that VHDL construct. Typing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4037 `RET' or `C-g' at the first \(mandatory) prompt aborts the current
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4038 template generation. Optional arguments are indicated by square
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4039 brackets and removed if the queried string is left empty. Prompts for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4040 mandatory arguments remain in the code if the queried string is left
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4041 empty. They can be queried again by `C-c C-t C-q'. Enabled
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4042 electrification is indicated by `/e' in the modeline.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4043
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4044 Typing `M-SPC' after a keyword inserts a space without calling the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4045 template generator. Automatic template generation (i.e.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4046 electrification) can be disabled (enabled) by typing `C-c C-m C-e' or by
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4047 setting option `vhdl-electric-mode' (see OPTIONS).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4048
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4049 Template generators can be invoked from the VHDL menu, by key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4050 bindings, by typing `C-c C-i C-c' and choosing a construct, or by typing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4051 the keyword (i.e. first word of menu entry not in parenthesis) and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4052 `SPC'. The following abbreviations can also be used: arch, attr, cond,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4053 conf, comp, cons, func, inst, pack, sig, var.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4054
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4055 Template styles can be customized in customization group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4056 `vhdl-template' \(see OPTIONS).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4057
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4058
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4059 HEADER INSERTION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4060 A file header can be inserted by `C-c C-t C-h'. A file footer
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4061 (template at the end of the file) can be inserted by `C-c C-t C-f'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4062 See customization group `vhdl-header'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4063
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4064
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4065 STUTTERING:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4066 Double striking of some keys inserts cumbersome VHDL syntax elements.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4067 Stuttering can be disabled (enabled) by typing `C-c C-m C-s' or by
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4068 option `vhdl-stutter-mode'. Enabled stuttering is indicated by `/s' in
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4069 the modeline. The stuttering keys and their effects are:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4070
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4071 ;; --> \" : \" [ --> ( -- --> comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4072 ;;; --> \" := \" [[ --> [ --CR --> comment-out code
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4073 .. --> \" => \" ] --> ) --- --> horizontal line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4074 ,, --> \" <= \" ]] --> ] ---- --> display comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4075 == --> \" == \" '' --> \\\"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4076
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4077
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4078 WORD COMPLETION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4079 Typing `TAB' after a (not completed) word looks for a VHDL keyword or a
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4080 word in the buffer that starts alike, inserts it and adjusts case.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4081 Re-typing `TAB' toggles through alternative word completions. This also
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4082 works in the minibuffer (i.e. in template generator prompts).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4083
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4084 Typing `TAB' after `(' looks for and inserts complete parenthesized
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4085 expressions (e.g. for array index ranges). All keywords as well as
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4086 standard types and subprograms of VHDL have predefined abbreviations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4087 \(e.g. type \"std\" and `TAB' will toggle through all standard types
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4088 beginning with \"std\").
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4089
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4090 Typing `TAB' after a non-word character indents the line if at the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4091 beginning of a line (i.e. no preceding non-blank characters), and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4092 inserts a tabulator stop otherwise. `M-TAB' always inserts a tabulator
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4093 stop.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4094
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4095
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4096 COMMENTS:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4097 `--' puts a single comment.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4098 `---' draws a horizontal line for separating code segments.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4099 `----' inserts a display comment, i.e. two horizontal lines
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4100 with a comment in between.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4101 `--CR' comments out code on that line. Re-hitting CR comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4102 out following lines.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4103 `C-c c' comments out a region if not commented out,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4104 uncomments a region if already commented out.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4105
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4106 You are prompted for comments after object definitions (i.e. signals,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4107 variables, constants, ports) and after subprogram and process
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4108 specifications if option `vhdl-prompt-for-comments' is non-nil.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4109 Comments are automatically inserted as additional labels (e.g. after
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4110 begin statements) and as help comments if `vhdl-self-insert-comments' is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4111 non-nil.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4112
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4113 Inline comments (i.e. comments after a piece of code on the same line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4114 are indented at least to `vhdl-inline-comment-column'. Comments go at
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4115 maximum to `vhdl-end-comment-column'. `RET' after a space in a comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4116 will open a new comment line. Typing beyond `vhdl-end-comment-column'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4117 in a comment automatically opens a new comment line. `M-q' re-fills
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4118 multi-line comments.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4119
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4120
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4121 INDENTATION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4122 `TAB' indents a line if at the beginning of the line. The amount of
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4123 indentation is specified by option `vhdl-basic-offset'. `C-c C-i C-l'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4124 always indents the current line (is bound to `TAB' if option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4125 `vhdl-intelligent-tab' is nil).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4126
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4127 Indentation can be done for a group of lines (`C-c C-i C-g'), a region
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4128 \(`M-C-\\') or the entire buffer (menu). Argument and port lists are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4129 indented normally (nil) or relative to the opening parenthesis (non-nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4130 according to option `vhdl-argument-list-indent'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4131
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4132 If option `vhdl-indent-tabs-mode' is nil, spaces are used instead of
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4133 tabs. `M-x tabify' and `M-x untabify' allow to convert spaces to tabs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4134 and vice versa.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4135
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4136 Syntax-based indentation can be very slow in large files. Option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4137 `vhdl-indent-syntax-based' allows to use faster but simpler indentation.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4138
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4139
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4140 ALIGNMENT:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4141 The alignment functions align operators, keywords, and inline comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4142 to beautify the code. `C-c C-a C-a' aligns a group of consecutive lines
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4143 separated by blank lines, `C-c C-a C-i' a block of lines with same
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4144 indent. `C-c C-a C-l' aligns all lines belonging to a list enclosed by
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4145 a pair of parentheses (e.g. port clause/map, argument list), and `C-c
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4146 C-a C-d' all lines within the declarative part of a design unit. `C-c
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4147 C-a M-a' aligns an entire region. `C-c C-a C-c' aligns inline comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4148 for a group of lines, and `C-c C-a M-c' for a region.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4149
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4150 If option `vhdl-align-groups' is non-nil, groups of code lines
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4151 separated by special lines (see option `vhdl-align-group-separate') are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4152 aligned individually. If option `vhdl-align-same-indent' is non-nil,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4153 blocks of lines with same indent are aligned separately. Some templates
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4154 are automatically aligned after generation if option `vhdl-auto-align'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4155 is non-nil.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4156
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4157 Alignment tries to align inline comments at
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4158 `vhdl-inline-comment-column' and tries inline comment not to exceed
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4159 `vhdl-end-comment-column'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4160
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4161 `C-c C-x M-w' fixes up whitespace in a region. That is, operator
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4162 symbols are surrounded by one space, and multiple spaces are eliminated.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4163
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4164
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4165 | CODE FILLING:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4166 | Code filling allows to condens code (e.g. sensitivity lists or port
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4167 | maps) by removing comments and newlines and re-wrapping so that all
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4168 | lines are maximally filled (block filling). `C-c C-f C-f' fills a list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4169 | enclosed by parenthesis, `C-c C-f C-g' a group of lines separated by
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4170 | blank lines, `C-c C-f C-i' a block of lines with same indent, and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4171 | `C-c C-f M-f' an entire region.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4172
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4173
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4174 CODE BEAUTIFICATION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4175 `C-c M-b' and `C-c C-b' beautify the code of a region or of the entire
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4176 buffer respectively. This inludes indentation, alignment, and case
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4177 fixing. Code beautification can also be run non-interactively using the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4178 command:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4179
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4180 emacs -batch -l ~/.emacs filename.vhd -f vhdl-beautify-buffer
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4181
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4182
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4183 PORT TRANSLATION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4184 Generic and port clauses from entity or component declarations can be
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4185 copied (`C-c C-p C-w') and pasted as entity and component declarations,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4186 as component instantiations and corresponding internal constants and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4187 signals, as a generic map with constants as actual generics, and as
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4188 internal signal initializations (menu).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4189
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4190 To include formals in component instantiations, see option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4191 `vhdl-association-list-with-formals'. To include comments in pasting,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4192 see options `vhdl-include-...-comments'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4193
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4194 A clause with several generic/port names on the same line can be
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4195 flattened (`C-c C-p C-f') so that only one name per line exists. The
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4196 | direction of ports can be reversed (`C-c C-p C-r'), i.e., inputs become
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4197 | outputs and vice versa, which can be useful in testbenches. (This
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4198 | reversion is done on the internal data structure and is only reflected
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4199 | in subsequent paste operations.)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4200
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4201 Names for actual ports, instances, testbenches, and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4202 design-under-test instances can be derived from existing names according
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4203 to options `vhdl-...-name'. See customization group `vhdl-port'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4204
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4205
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4206 | SUBPROGRAM TRANSLATION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4207 | Similar functionality exists for copying/pasting the interface of
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4208 | subprograms (function/procedure). A subprogram interface can be copied
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4209 | and then pasted as a subprogram declaration, body or call (uses
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4210 | association list with formals).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4211
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4212
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4213 TESTBENCH GENERATION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4214 A copied port can also be pasted as a testbench. The generated
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4215 testbench includes an entity, an architecture, and an optional
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4216 configuration. The architecture contains the component declaration and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4217 instantiation of the DUT as well as internal constant and signal
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4218 declarations. Additional user-defined templates can be inserted. The
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4219 names used for entity/architecture/configuration/DUT as well as the file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4220 structure to be generated can be customized. See customization group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4221 `vhdl-testbench'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4222
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4223
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4224 KEY BINDINGS:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4225 Key bindings (`C-c ...') exist for most commands (see in menu).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4226
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4227
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4228 VHDL MENU:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4229 All commands can be found in the VHDL menu including their key bindings.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4230
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4231
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4232 FILE BROWSER:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4233 The speedbar allows browsing of directories and file contents. It can
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4234 be accessed from the VHDL menu and is automatically opened if option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4235 `vhdl-speedbar-auto-open' is non-nil.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4236
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4237 In speedbar, open files and directories with `mouse-2' on the name and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4238 browse/rescan their contents with `mouse-2'/`S-mouse-2' on the `+'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4239
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4240
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4241 DESIGN HIERARCHY BROWSER:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4242 The speedbar can also be used for browsing the hierarchy of design units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4243 contained in the source files of the current directory or the specified
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4244 projects (see option `vhdl-project-alist').
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4245
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4246 The speedbar can be switched between file, directory hierarchy and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4247 project hierarchy browsing mode in the speedbar menu or by typing `f',
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4248 `h' or `H' in speedbar.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4249
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4250 In speedbar, open design units with `mouse-2' on the name and browse
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4251 their hierarchy with `mouse-2' on the `+'. Ports can directly be copied
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4252 from entities and components (in packages). Individual design units and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4253 complete designs can directly be compiled (\"Make\" menu entry).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4254
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4255 The hierarchy is automatically updated upon saving a modified source
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4256 file when option `vhdl-speedbar-update-on-saving' is non-nil. The
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4257 hierarchy is only updated for projects that have been opened once in the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4258 speedbar. The hierarchy is cached between Emacs sessions in a file (see
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4259 options in group `vhdl-speedbar').
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4260
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4261 Simple design consistency checks are done during scanning, such as
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4262 multiple declarations of the same unit or missing primary units that are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4263 required by secondary units.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4264
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4265
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4266 | STRUCTURAL COMPOSITION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4267 | Enables simple structural composition. `C-c C-c C-n' creates a skeleton
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4268 | for a new component. Subcomponents (i.e. component declaration and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4269 | instantiation) can be automatically placed from a previously read port
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4270 | \(`C-c C-c C-p') or directly from the hierarchy browser (`P'). Finally,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4271 | all subcomponents can be automatically connected using internal signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4272 | and ports (`C-c C-c C-w') following these rules:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4273 | - subcomponent actual ports with same name are considered to be
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4274 | connected by a signal (internal signal or port)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4275 | - signals that are only inputs to subcomponents are considered as
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4276 | inputs to this component -> input port created
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4277 | - signals that are only outputs from subcomponents are considered as
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4278 | outputs from this component -> output port created
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4279 | - signals that are inputs to AND outputs from subcomponents are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4280 | considered as internal connections -> internal signal created
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4281 |
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4282 | Component declarations can be placed in a components package (option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4283 | `vhdl-use-components-package') which can be automatically generated for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4284 | an entire directory or project (`C-c C-c M-p'). The VHDL'93 direct
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4285 | component instantiation is also supported (option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4286 | `vhdl-use-direct-instantiation').
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4287 |
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4288 | Purpose: With appropriate naming conventions it is possible to
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4289 | create higher design levels with only a few mouse clicks or key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4290 | strokes. A new design level can be created by simply generating a new
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4291 | component, placing the required subcomponents from the hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4292 | browser, and wiring everything automatically.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4293 |
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4294 | Note: Automatic wiring only works reliably on templates of new
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4295 | components and component instantiations that were created by VHDL mode.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4296 |
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4297 | See the options group `vhdl-compose' for all relevant user options.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4298
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4299
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4300 SOURCE FILE COMPILATION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4301 The syntax of the current buffer can be analyzed by calling a VHDL
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4302 compiler (menu, `C-c C-k'). The compiler to be used is specified by
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4303 option `vhdl-compiler'. The available compilers are listed in option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4304 `vhdl-compiler-alist' including all required compilation command,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4305 command options, compilation directory, and error message syntax
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4306 information. New compilers can be added.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4307
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4308 All the source files of an entire design can be compiled by the `make'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4309 command (menu, `C-c M-C-k') if an appropriate Makefile exists.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4310
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4311
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4312 MAKEFILE GENERATION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4313 Makefiles can be generated automatically by an internal generation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4314 routine (`C-c M-k'). The library unit dependency information is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4315 obtained from the hierarchy browser. Makefile generation can be
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4316 customized for each compiler in option `vhdl-compiler-alist'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4317
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4318 Makefile generation can also be run non-interactively using the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4319 command:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4320
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4321 emacs -batch -l ~/.emacs -l vhdl-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4322 [-compiler compilername] [-project projectname]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4323 -f vhdl-generate-makefile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4324
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4325 The Makefile's default target \"all\" compiles the entire design, the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4326 target \"clean\" removes it and the target \"library\" creates the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4327 library directory if not existent. The Makefile also includes a target
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4328 for each primary library unit which allows selective compilation of this
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4329 unit, its secondary units and its subhierarchy (example: compilation of
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4330 a design specified by a configuration). User specific parts can be
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4331 inserted into a Makefile with option `vhdl-makefile-generation-hook'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4332
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4333 Limitations:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4334 - Only library units and dependencies within the current library are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4335 considered. Makefiles for designs that span multiple libraries are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4336 not (yet) supported.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4337 - Only one-level configurations are supported (also hierarchical),
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4338 but configurations that go down several levels are not.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4339 - The \"others\" keyword in configurations is not supported.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4340
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4341
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4342 PROJECTS:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4343 Projects can be defined in option `vhdl-project-alist' and a current
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4344 project be selected using option `vhdl-project' (permanently) or from
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4345 the menu or speedbar (temporarily). For each project, title and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4346 description strings (for the file headers), source files/directories
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4347 (for the hierarchy browser and Makefile generation), library name, and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4348 compiler-dependent options, exceptions and compilation directory can be
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4349 specified. Compilation settings overwrite the settings of option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4350 `vhdl-compiler-alist'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4351
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4352 Project setups can be exported (i.e. written to a file) and imported.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4353 Imported setups are not automatically saved in `vhdl-project-alist' but
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4354 can be saved afterwards in its customization buffer. When starting
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4355 Emacs with VHDL Mode (i.e. load a VHDL file or use \"emacs -l
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4356 vhdl-mode\") in a directory with an existing project setup file, it is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4357 automatically loaded and its project activated if option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4358 `vhdl-project-auto-load' is non-nil. Names/paths of the project setup
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4359 files can be specified in option `vhdl-project-file-name'. Multiple
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4360 project setups can be automatically loaded from global directories.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4361 This is an alternative to specifying project setups with option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4362 `vhdl-project-alist'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4363
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4364
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4365 SPECIAL MENUES:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4366 As an alternative to the speedbar, an index menu can be added (set
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4367 option `vhdl-index-menu' to non-nil) or made accessible as a mouse menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4368 (e.g. add \"(global-set-key '[S-down-mouse-3] 'imenu)\" to your start-up
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4369 file) for browsing the file contents (is not populated if buffer is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4370 larger than `font-lock-maximum-size'). Also, a source file menu can be
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4371 added (set option `vhdl-source-file-menu' to non-nil) for browsing the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4372 current directory for VHDL source files.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4373
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4374
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4375 VHDL STANDARDS:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4376 The VHDL standards to be used are specified in option `vhdl-standard'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4377 Available standards are: VHDL'87/'93, VHDL-AMS, and Math Packages.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4378
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4379
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4380 KEYWORD CASE:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4381 Lower and upper case for keywords and standardized types, attributes,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4382 and enumeration values is supported. If the option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4383 `vhdl-upper-case-keywords' is set to non-nil, keywords can be typed in
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4384 lower case and are converted into upper case automatically (not for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4385 types, attributes, and enumeration values). The case of keywords,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4386 types, attributes,and enumeration values can be fixed for an entire
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4387 region (menu) or buffer (`C-c C-x C-c') according to the options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4388 `vhdl-upper-case-{keywords,types,attributes,enum-values}'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4389
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4390
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4391 HIGHLIGHTING (fontification):
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4392 Keywords and standardized types, attributes, enumeration values, and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4393 function names (controlled by option `vhdl-highlight-keywords'), as well
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4394 as comments, strings, and template prompts are highlighted using
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4395 different colors. Unit, subprogram, signal, variable, constant,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4396 parameter and generic/port names in declarations as well as labels are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4397 highlighted if option `vhdl-highlight-names' is non-nil.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4398
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4399 Additional reserved words or words with a forbidden syntax (e.g. words
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4400 that should be avoided) can be specified in option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4401 `vhdl-forbidden-words' or `vhdl-forbidden-syntax' and be highlighted in
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4402 a warning color (option `vhdl-highlight-forbidden-words'). Verilog
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4403 keywords are highlighted as forbidden words if option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4404 `vhdl-highlight-verilog-keywords' is non-nil.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4405
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4406 Words with special syntax can be highlighted by specifying their
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4407 syntax and color in option `vhdl-special-syntax-alist' and by setting
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4408 option `vhdl-highlight-special-words' to non-nil. This allows to
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4409 establish some naming conventions (e.g. to distinguish different kinds
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4410 of signals or other objects by using name suffices) and to support them
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4411 visually.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4412
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4413 Option `vhdl-highlight-case-sensitive' can be set to non-nil in order
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4414 to support case-sensitive highlighting. However, keywords are then only
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4415 highlighted if written in lower case.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4416
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4417 Code between \"translate_off\" and \"translate_on\" pragmas is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4418 highlighted using a different background color if option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4419 `vhdl-highlight-translate-off' is non-nil.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4420
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4421 For documentation and customization of the used colors see
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4422 customization group `vhdl-highlight-faces' (`M-x customize-group'). For
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4423 highlighting of matching parenthesis, see customization group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4424 `paren-showing'. Automatic buffer highlighting is turned on/off by
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4425 option `global-font-lock-mode' (`font-lock-auto-fontify' in XEmacs).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4426
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4427
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4428 USER MODELS:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4429 VHDL models (templates) can be specified by the user and made accessible
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4430 in the menu, through key bindings (`C-c C-m ...'), or by keyword
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4431 electrification. See option `vhdl-model-alist'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4432
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4433
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4434 HIDE/SHOW:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4435 The code of blocks, processes, subprograms, component declarations and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4436 instantiations, generic/port clauses, and configuration declarations can
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4437 be hidden using the `Hide/Show' menu or by pressing `S-mouse-2' within
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4438 the code (see customization group `vhdl-menu'). XEmacs: limited
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4439 functionality due to old `hideshow.el' package.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4440
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4441
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4442 CODE UPDATING:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4443 - Sensitivity List: `C-c C-u C-s' updates the sensitivity list of the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4444 current process, `C-c C-u M-s' of all processes in the current buffer.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4445 Limitations:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4446 - Only declared local signals (ports, signals declared in
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4447 architecture and blocks) are automatically inserted.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4448 - Global signals declared in packages are not automatically inserted.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4449 Insert them once manually (will be kept afterwards).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4450 - Out parameters of procedures are considered to be read.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4451 Use option `vhdl-entity-file-name' to specify the entity file name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4452 \(used to obtain the port names).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4453
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4454
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4455 CODE FIXING:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4456 `C-c C-x C-p' fixes the closing parenthesis of a generic/port clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4457 \(e.g. if the closing parenthesis is on the wrong line or is missing).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4458
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4459
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4460 PRINTING:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4461 Postscript printing with different faces (an optimized set of faces is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4462 used if `vhdl-print-customize-faces' is non-nil) or colors \(if
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4463 `ps-print-color-p' is non-nil) is possible using the standard Emacs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4464 postscript printing commands. Option `vhdl-print-two-column' defines
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4465 appropriate default settings for nice landscape two-column printing.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4466 The paper format can be set by option `ps-paper-type'. Do not forget to
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4467 switch `ps-print-color-p' to nil for printing on black-and-white
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4468 printers.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4469
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4470
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4471 OPTIONS:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4472 User options allow customization of VHDL Mode. All options are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4473 accessible from the \"Options\" menu entry. Simple options (switches
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4474 and choices) can directly be changed, while for complex options a
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4475 customization buffer is opened. Changed options can be saved for future
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4476 sessions using the \"Save Options\" menu entry.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4477
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4478 Options and their detailed descriptions can also be accessed by using
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4479 the \"Customize\" menu entry or the command `M-x customize-option' (`M-x
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4480 customize-group' for groups). Some customizations only take effect
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4481 after some action (read the NOTE in the option documentation).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4482 Customization can also be done globally (i.e. site-wide, read the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4483 INSTALL file).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4484
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4485 Not all options are described in this documentation, so go and see
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4486 what other useful user options there are (`M-x vhdl-customize' or menu)!
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4487
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4488
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4489 FILE EXTENSIONS:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4490 As default, files with extensions \".vhd\" and \".vhdl\" are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4491 automatically recognized as VHDL source files. To add an extension
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4492 \".xxx\", add the following line to your Emacs start-up file (`.emacs'):
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4493
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4494 \(setq auto-mode-alist (cons '(\"\\\\.xxx\\\\'\" . vhdl-mode) auto-mode-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4495
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4496
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4497 HINTS:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4498 - To start Emacs with open VHDL hierarchy browser without having to load
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4499 a VHDL file first, use the command:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4500
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4501 emacs -l vhdl-mode -f speedbar-frame-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4502
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4503 - Type `C-g C-g' to interrupt long operations or if Emacs hangs.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4504
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4505 - Some features only work on properly indented code.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4506
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4507
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4508 RELEASE NOTES:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4509 See also the release notes (menu) for added features in new releases.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4510
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4511
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4512 Maintenance:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4513 ------------
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4514
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4515 To submit a bug report, enter `M-x vhdl-submit-bug-report' within VHDL Mode.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4516 Add a description of the problem and include a reproducible test case.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4517
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4518 Questions and enhancement requests can be sent to <reto@gnu.org>.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4519
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4520 The `vhdl-mode-announce' mailing list informs about new VHDL Mode releases.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4521 The `vhdl-mode-victims' mailing list informs about new VHDL Mode beta
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4522 releases. You are kindly invited to participate in beta testing. Subscribe
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4523 to above mailing lists by sending an email to <reto@gnu.org>.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4524
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4525 VHDL Mode is officially distributed at
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4526 http://opensource.ethz.ch/emacs/vhdl-mode.html
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4527 where the latest version can be found.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4528
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4529
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4530 Known problems:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4531 ---------------
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4532
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4533 - Indentation bug in simultaneous if- and case-statements (VHDL-AMS).
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4534 - XEmacs: Incorrect start-up when automatically opening speedbar.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4535 - XEmacs: Indentation in XEmacs 21.4 (and higher).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4536
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4537
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4538 The VHDL Mode Authors
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4539 Reto Zimmermann and Rod Whitby
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4540
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4541 Key bindings:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4542 -------------
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4543
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4544 \\{vhdl-mode-map}"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4545 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4546 (kill-all-local-variables)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4547 (setq major-mode 'vhdl-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4548 (setq mode-name "VHDL")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4549
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4550 ;; set maps and tables
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4551 (use-local-map vhdl-mode-map)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4552 (set-syntax-table vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4553 (setq local-abbrev-table vhdl-mode-abbrev-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4554
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4555 ;; set local variables
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4556 (set (make-local-variable 'paragraph-start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4557 "\\s-*\\(--+\\s-*$\\|[^ -]\\|$\\)")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4558 (set (make-local-variable 'paragraph-separate) paragraph-start)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4559 (set (make-local-variable 'paragraph-ignore-fill-prefix) t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4560 (set (make-local-variable 'require-final-newline) t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4561 (set (make-local-variable 'parse-sexp-ignore-comments) t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4562 (set (make-local-variable 'indent-line-function) 'vhdl-indent-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4563 (set (make-local-variable 'comment-start) "--")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4564 (set (make-local-variable 'comment-end) "")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4565 (when vhdl-emacs-21
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4566 (set (make-local-variable 'comment-padding) ""))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4567 (set (make-local-variable 'comment-column) vhdl-inline-comment-column)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4568 (set (make-local-variable 'end-comment-column) vhdl-end-comment-column)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4569 (set (make-local-variable 'comment-start-skip) "--+\\s-*")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4570 (set (make-local-variable 'comment-multi-line) nil)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4571 (set (make-local-variable 'indent-tabs-mode) vhdl-indent-tabs-mode)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4572 (set (make-local-variable 'hippie-expand-verbose) nil)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4573
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4574 ;; setup the comment indent variable in a Emacs version portable way
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4575 ;; ignore any byte compiler warnings you might get here
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4576 (when (boundp 'comment-indent-function)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4577 (make-local-variable 'comment-indent-function)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4578 (setq comment-indent-function 'vhdl-comment-indent))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4579
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4580 ;; initialize font locking
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4581 (set (make-local-variable 'font-lock-defaults)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4582 (list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4583 '(nil vhdl-font-lock-keywords) nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4584 (not vhdl-highlight-case-sensitive) '((?\_ . "w")) 'beginning-of-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4585 '(font-lock-syntactic-keywords . vhdl-font-lock-syntactic-keywords)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4586 (unless vhdl-emacs-21
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4587 (set (make-local-variable 'font-lock-support-mode) 'lazy-lock-mode)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4588 (set (make-local-variable 'lazy-lock-defer-contextually) nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4589 (set (make-local-variable 'lazy-lock-defer-on-the-fly) t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4590 ; (set (make-local-variable 'lazy-lock-defer-time) 0.1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4591 (set (make-local-variable 'lazy-lock-defer-on-scrolling) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4592 ; (turn-on-font-lock)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4593
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4594 ;; variables for source file compilation
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4595 (when vhdl-compile-use-local-error-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4596 (set (make-local-variable 'compilation-error-regexp-alist) nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4597 (set (make-local-variable 'compilation-file-regexp-alist) nil))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4598
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4599 ;; add index menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4600 (vhdl-index-menu-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4601 ;; add source file menu
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4602 (if vhdl-source-file-menu (vhdl-add-source-files-menu))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4603 ;; add VHDL menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4604 (easy-menu-add vhdl-mode-menu-list) ; for XEmacs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4605 (easy-menu-define vhdl-mode-menu vhdl-mode-map
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4606 "Menu keymap for VHDL Mode." vhdl-mode-menu-list)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4607 ;; initialize hideshow and add menu
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4608 (vhdl-hideshow-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4609 (run-hooks 'menu-bar-update-hook)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4610
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4611 ;; miscellaneous
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4612 (vhdl-ps-print-init)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4613 (vhdl-write-file-hooks-init)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4614 (vhdl-mode-line-update)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4615 (message "VHDL Mode %s.%s" vhdl-version
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4616 (if noninteractive "" " See menu for documentation and release notes."))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4617
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4618 ;; run hooks
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4619 (run-hooks 'vhdl-mode-hook))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4620
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4621 (defun vhdl-activate-customizations ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4622 "Activate all customizations on local variables."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4623 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4624 (vhdl-mode-map-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4625 (use-local-map vhdl-mode-map)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4626 (set-syntax-table vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4627 (setq comment-column vhdl-inline-comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4628 (setq end-comment-column vhdl-end-comment-column)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4629 (vhdl-write-file-hooks-init)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4630 (vhdl-update-mode-menu)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4631 (vhdl-hideshow-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4632 (run-hooks 'menu-bar-update-hook)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4633 (vhdl-mode-line-update))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4634
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4635 (defun vhdl-write-file-hooks-init ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4636 "Add/remove hooks when buffer is saved."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4637 (if vhdl-modify-date-on-saving
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4638 (add-hook 'local-write-file-hooks 'vhdl-template-modify-noerror)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4639 (remove-hook 'local-write-file-hooks 'vhdl-template-modify-noerror))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4640 (make-local-variable 'after-save-hook)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4641 (add-hook 'after-save-hook 'vhdl-add-modified-file))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4642
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4643 (defun vhdl-process-command-line-option (option)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4644 "Process command line options for VHDL Mode."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4645 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4646 ;; set compiler
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4647 ((equal option "-compiler")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4648 (vhdl-set-compiler (car command-line-args-left))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4649 (setq command-line-args-left (cdr command-line-args-left)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4650 ;; set project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4651 ((equal option "-project")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4652 (vhdl-set-project (car command-line-args-left))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4653 (setq command-line-args-left (cdr command-line-args-left)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4654
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4655 ;; make Emacs process VHDL Mode options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4656 (setq command-switch-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4657 (append command-switch-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4658 '(("-compiler" . vhdl-process-command-line-option)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4659 ("-project" . vhdl-process-command-line-option))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4660
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4661
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4662 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4663 ;;; Keywords and standardized words
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4664 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4665
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4666 (defconst vhdl-93-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4667 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4668 "abs" "access" "after" "alias" "all" "and" "architecture" "array"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4669 "assert" "attribute"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4670 "begin" "block" "body" "buffer" "bus"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4671 "case" "component" "configuration" "constant"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4672 "disconnect" "downto"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4673 "else" "elsif" "end" "entity" "exit"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4674 "file" "for" "function"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4675 "generate" "generic" "group" "guarded"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4676 "if" "impure" "in" "inertial" "inout" "is"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4677 "label" "library" "linkage" "literal" "loop"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4678 "map" "mod"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4679 "nand" "new" "next" "nor" "not" "null"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4680 "of" "on" "open" "or" "others" "out"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4681 "package" "port" "postponed" "procedure" "process" "pure"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4682 "range" "record" "register" "reject" "rem" "report" "return"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4683 "rol" "ror"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4684 "select" "severity" "shared" "signal" "sla" "sll" "sra" "srl" "subtype"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4685 "then" "to" "transport" "type"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4686 "unaffected" "units" "until" "use"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4687 "variable"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4688 "wait" "when" "while" "with"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4689 "xnor" "xor"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4690 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4691 "List of VHDL'93 keywords.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4692
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4693 (defconst vhdl-ams-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4694 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4695 "across" "break" "limit" "nature" "noise" "procedural" "quantity"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4696 "reference" "spectrum" "subnature" "terminal" "through"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4697 "tolerance"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4698 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4699 "List of VHDL-AMS keywords.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4700
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4701 (defconst vhdl-verilog-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4702 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4703 "`define" "`else" "`endif" "`ifdef" "`include" "`timescale" "`undef"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4704 "always" "and" "assign" "begin" "buf" "bufif0" "bufif1"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4705 "case" "casex" "casez" "cmos" "deassign" "default" "defparam" "disable"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4706 "edge" "else" "end" "endattribute" "endcase" "endfunction" "endmodule"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4707 "endprimitive" "endspecify" "endtable" "endtask" "event"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4708 "for" "force" "forever" "fork" "function"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4709 "highz0" "highz1" "if" "initial" "inout" "input" "integer" "join" "large"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4710 "macromodule" "makefile" "medium" "module"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4711 "nand" "negedge" "nmos" "nor" "not" "notif0" "notif1" "or" "output"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4712 "parameter" "pmos" "posedge" "primitive" "pull0" "pull1" "pulldown"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4713 "pullup"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4714 "rcmos" "real" "realtime" "reg" "release" "repeat" "rnmos" "rpmos" "rtran"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4715 "rtranif0" "rtranif1"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4716 "scalared" "signed" "small" "specify" "specparam" "strength" "strong0"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4717 "strong1" "supply" "supply0" "supply1"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4718 "table" "task" "time" "tran" "tranif0" "tranif1" "tri" "tri0" "tri1"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4719 "triand" "trior" "trireg"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4720 "vectored" "wait" "wand" "weak0" "weak1" "while" "wire" "wor" "xnor" "xor"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4721 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4722 "List of Verilog keywords as candidate for additional reserved words.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4723
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4724 (defconst vhdl-93-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4725 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4726 "boolean" "bit" "bit_vector" "character" "severity_level" "integer"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4727 "real" "time" "natural" "positive" "string" "line" "text" "side"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4728 "unsigned" "signed" "delay_length" "file_open_kind" "file_open_status"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4729 "std_logic" "std_logic_vector"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4730 "std_ulogic" "std_ulogic_vector"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4731 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4732 "List of VHDL'93 standardized types.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4733
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4734 (defconst vhdl-ams-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4735 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4736 "domain_type" "real_vector"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4737 ;; from `nature_pkg' package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4738 "voltage" "current" "electrical" "position" "velocity" "force"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4739 "mechanical_vf" "mechanical_pf" "rotvel" "torque" "rotational"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4740 "pressure" "flowrate" "fluid"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4741 )
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4742 "List of VHDL-AMS standardized types.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4743
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4744 (defconst vhdl-math-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4745 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4746 "complex" "complex_polar"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4747 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4748 "List of Math Packages standardized types.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4749
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4750 (defconst vhdl-93-attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4751 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4752 "base" "left" "right" "high" "low" "pos" "val" "succ"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4753 "pred" "leftof" "rightof" "range" "reverse_range"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4754 "length" "delayed" "stable" "quiet" "transaction"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4755 "event" "active" "last_event" "last_active" "last_value"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4756 "driving" "driving_value" "ascending" "value" "image"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4757 "simple_name" "instance_name" "path_name"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4758 "foreign"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4759 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4760 "List of VHDL'93 standardized attributes.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4761
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4762 (defconst vhdl-ams-attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4763 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4764 "across" "through"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4765 "reference" "contribution" "tolerance"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4766 "dot" "integ" "delayed" "above" "zoh" "ltf" "ztf"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4767 "ramp" "slew"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4768 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4769 "List of VHDL-AMS standardized attributes.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4770
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4771 (defconst vhdl-93-enum-values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4772 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4773 "true" "false"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4774 "note" "warning" "error" "failure"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4775 "read_mode" "write_mode" "append_mode"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4776 "open_ok" "status_error" "name_error" "mode_error"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4777 "fs" "ps" "ns" "us" "ms" "sec" "min" "hr"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4778 "right" "left"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4779 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4780 "List of VHDL'93 standardized enumeration values.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4781
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4782 (defconst vhdl-ams-enum-values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4783 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4784 "quiescent_domain" "time_domain" "frequency_domain"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4785 ;; from `nature_pkg' package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4786 "eps0" "mu0" "ground" "mecvf_gnd" "mecpf_gnd" "rot_gnd" "fld_gnd"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4787 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4788 "List of VHDL-AMS standardized enumeration values.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4789
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4790 (defconst vhdl-math-constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4791 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4792 "math_e" "math_1_over_e"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4793 "math_pi" "math_two_pi" "math_1_over_pi"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4794 "math_half_pi" "math_q_pi" "math_3_half_pi"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4795 "math_log_of_2" "math_log_of_10" "math_log2_of_e" "math_log10_of_e"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4796 "math_sqrt2" "math_sqrt1_2" "math_sqrt_pi"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4797 "math_deg_to_rad" "math_rad_to_deg"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4798 "cbase_1" "cbase_j" "czero"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4799 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4800 "List of Math Packages standardized constants.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4801
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4802 (defconst vhdl-93-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4803 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4804 "now" "resolved" "rising_edge" "falling_edge"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4805 "read" "readline" "write" "writeline" "endfile"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4806 "resize" "is_X" "std_match"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4807 "shift_left" "shift_right" "rotate_left" "rotate_right"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4808 "to_unsigned" "to_signed" "to_integer"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4809 "to_stdLogicVector" "to_stdULogic" "to_stdULogicVector"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4810 "to_bit" "to_bitVector" "to_X01" "to_X01Z" "to_UX01" "to_01"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4811 "conv_unsigned" "conv_signed" "conv_integer" "conv_std_logic_vector"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4812 "shl" "shr" "ext" "sxt"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4813 "deallocate"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4814 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4815 "List of VHDL'93 standardized functions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4816
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4817 (defconst vhdl-ams-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4818 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4819 "frequency"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4820 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4821 "List of VHDL-AMS standardized functions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4822
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4823 (defconst vhdl-math-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4824 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4825 "sign" "ceil" "floor" "round" "trunc" "fmax" "fmin" "uniform"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4826 "sqrt" "cbrt" "exp" "log"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4827 "sin" "cos" "tan" "arcsin" "arccos" "arctan"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4828 "sinh" "cosh" "tanh" "arcsinh" "arccosh" "arctanh"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4829 "cmplx" "complex_to_polar" "polar_to_complex" "arg" "conj"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4830 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4831 "List of Math Packages standardized functions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4832
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4833 (defconst vhdl-93-packages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4834 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4835 "std_logic_1164" "numeric_std" "numeric_bit"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4836 "standard" "textio"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4837 "std_logic_arith" "std_logic_signed" "std_logic_unsigned"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4838 "std_logic_misc" "std_logic_textio"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4839 "ieee" "std" "work"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4840 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4841 "List of VHDL'93 standardized packages and libraries.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4842
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4843 (defconst vhdl-ams-packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4844 '(
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4845 ;; from `nature_pkg' package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4846 "nature_pkg"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4847 )
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4848 "List of VHDL-AMS standardized packages and libraries.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4849
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4850 (defconst vhdl-math-packages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4851 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4852 "math_real" "math_complex"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4853 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4854 "List of Math Packages standardized packages and libraries.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4855
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4856 (defvar vhdl-keywords nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4857 "List of VHDL keywords.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4858
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4859 (defvar vhdl-types nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4860 "List of VHDL standardized types.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4861
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4862 (defvar vhdl-attributes nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4863 "List of VHDL standardized attributes.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4864
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4865 (defvar vhdl-enum-values nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4866 "List of VHDL standardized enumeration values.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4867
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4868 (defvar vhdl-constants nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4869 "List of VHDL standardized constants.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4870
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4871 (defvar vhdl-functions nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4872 "List of VHDL standardized functions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4873
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4874 (defvar vhdl-packages nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4875 "List of VHDL standardized packages and libraries.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4876
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4877 (defvar vhdl-reserved-words nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4878 "List of additional reserved words.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4879
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4880 (defvar vhdl-keywords-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4881 "Regexp for VHDL keywords.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4882
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4883 (defvar vhdl-types-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4884 "Regexp for VHDL standardized types.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4885
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4886 (defvar vhdl-attributes-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4887 "Regexp for VHDL standardized attributes.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4888
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4889 (defvar vhdl-enum-values-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4890 "Regexp for VHDL standardized enumeration values.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4891
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4892 (defvar vhdl-functions-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4893 "Regexp for VHDL standardized functions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4894
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4895 (defvar vhdl-packages-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4896 "Regexp for VHDL standardized packages and libraries.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4897
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4898 (defvar vhdl-reserved-words-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4899 "Regexp for additional reserved words.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4900
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4901 (defvar vhdl-directive-keywords-regexp nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4902 "Regexp for compiler directive keywords.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4903
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4904 (defun vhdl-words-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4905 "Initialize reserved words."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4906 (setq vhdl-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4907 (append vhdl-93-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4908 (when (vhdl-standard-p 'ams) vhdl-ams-keywords)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4909 (setq vhdl-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4910 (append vhdl-93-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4911 (when (vhdl-standard-p 'ams) vhdl-ams-types)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4912 (when (vhdl-standard-p 'math) vhdl-math-types)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4913 (setq vhdl-attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4914 (append vhdl-93-attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4915 (when (vhdl-standard-p 'ams) vhdl-ams-attributes)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4916 (setq vhdl-enum-values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4917 (append vhdl-93-enum-values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4918 (when (vhdl-standard-p 'ams) vhdl-ams-enum-values)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4919 (setq vhdl-constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4920 (append (when (vhdl-standard-p 'math) vhdl-math-constants)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4921 (setq vhdl-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4922 (append vhdl-93-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4923 (when (vhdl-standard-p 'ams) vhdl-ams-functions)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4924 (when (vhdl-standard-p 'math) vhdl-math-functions)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4925 (setq vhdl-packages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4926 (append vhdl-93-packages
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4927 (when (vhdl-standard-p 'ams) vhdl-ams-packages)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4928 (when (vhdl-standard-p 'math) vhdl-math-packages)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4929 (setq vhdl-reserved-words
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4930 (append (when vhdl-highlight-forbidden-words vhdl-forbidden-words)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4931 (when vhdl-highlight-verilog-keywords vhdl-verilog-keywords)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4932 '("")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4933 (setq vhdl-keywords-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4934 (concat "\\<\\(" (regexp-opt vhdl-keywords) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4935 (setq vhdl-types-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4936 (concat "\\<\\(" (regexp-opt vhdl-types) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4937 (setq vhdl-attributes-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4938 (concat "\\<\\(" (regexp-opt vhdl-attributes) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4939 (setq vhdl-enum-values-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4940 (concat "\\<\\(" (regexp-opt vhdl-enum-values) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4941 (setq vhdl-functions-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4942 (concat "\\<\\(" (regexp-opt vhdl-functions) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4943 (setq vhdl-packages-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4944 (concat "\\<\\(" (regexp-opt vhdl-packages) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4945 (setq vhdl-reserved-words-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4946 (concat "\\<\\("
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4947 (unless (equal vhdl-forbidden-syntax "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4948 (concat vhdl-forbidden-syntax "\\|"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4949 (regexp-opt vhdl-reserved-words)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4950 "\\)\\>"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4951 (setq vhdl-directive-keywords-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4952 (concat "\\<\\(" (mapconcat 'regexp-quote
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4953 vhdl-directive-keywords "\\|") "\\)\\>"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4954 (vhdl-abbrev-list-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4955
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4956 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4957 ;; Words to expand
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4958
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4959 (defvar vhdl-abbrev-list nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4960 "Predefined abbreviations for VHDL.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4961
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4962 (defun vhdl-abbrev-list-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4963 (setq vhdl-abbrev-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4964 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4965 (list vhdl-upper-case-keywords) vhdl-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4966 (list vhdl-upper-case-types) vhdl-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4967 (list vhdl-upper-case-attributes) vhdl-attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4968 (list vhdl-upper-case-enum-values) vhdl-enum-values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4969 (list vhdl-upper-case-constants) vhdl-constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4970 (list nil) vhdl-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4971 (list nil) vhdl-packages)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4972
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4973 ;; initialize reserved words for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4974 (vhdl-words-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4975
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4976
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4977 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4978 ;;; Indentation
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4979 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4980
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4981 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4982 ;; Syntax analysis
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4983
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4984 ;; constant regular expressions for looking at various constructs
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4985
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4986 (defconst vhdl-symbol-key "\\(\\w\\|\\s_\\)+"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4987 "Regexp describing a VHDL symbol.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4988 We cannot use just `word' syntax class since `_' cannot be in word
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4989 class. Putting underscore in word class breaks forward word movement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4990 behavior that users are familiar with.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4991
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4992 (defconst vhdl-case-header-key "case[( \t\n][^;=>]+[) \t\n]is"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4993 "Regexp describing a case statement header key.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4994
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4995 (defconst vhdl-label-key
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4996 (concat "\\(" vhdl-symbol-key "\\s-*:\\)[^=]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4997 "Regexp describing a VHDL label.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4998
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4999 ;; Macro definitions:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5000
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5001 (defmacro vhdl-point (position)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5002 "Return the value of point at certain commonly referenced POSITIONs.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5003 POSITION can be one of the following symbols:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5004
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5005 bol -- beginning of line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5006 eol -- end of line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5007 bod -- beginning of defun
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5008 boi -- back to indentation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5009 eoi -- last whitespace on line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5010 ionl -- indentation of next line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5011 iopl -- indentation of previous line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5012 bonl -- beginning of next line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5013 bopl -- beginning of previous line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5014
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5015 This function does not modify point or mark."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5016 (or (and (eq 'quote (car-safe position))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5017 (null (cddr position)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5018 (error "ERROR: Bad buffer position requested: %s" position))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5019 (setq position (nth 1 position))
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5020 `(let ((here (point)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5021 ,@(cond
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5022 ((eq position 'bol) '((beginning-of-line)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5023 ((eq position 'eol) '((end-of-line)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5024 ((eq position 'bod) '((save-match-data
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5025 (vhdl-beginning-of-defun))))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5026 ((eq position 'boi) '((back-to-indentation)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5027 ((eq position 'eoi) '((end-of-line) (skip-chars-backward " \t")))
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5028 ((eq position 'bonl) '((forward-line 1)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5029 ((eq position 'bopl) '((forward-line -1)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5030 ((eq position 'iopl)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5031 '((forward-line -1)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5032 (back-to-indentation)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5033 ((eq position 'ionl)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5034 '((forward-line 1)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5035 (back-to-indentation)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5036 (t (error "ERROR: Unknown buffer position requested: %s" position))
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5037 )
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5038 (prog1
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5039 (point)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5040 (goto-char here))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5041 ;; workaround for an Emacs18 bug -- blech! Well, at least it
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5042 ;; doesn't hurt for v19
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5043 ,@nil
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5044 ))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5045
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5046 (defmacro vhdl-safe (&rest body)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5047 "Safely execute BODY, return nil if an error occurred."
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5048 `(condition-case nil
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5049 (progn ,@body)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5050 (error nil)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5051
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5052 (defmacro vhdl-add-syntax (symbol &optional relpos)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5053 "A simple macro to append the syntax in SYMBOL to the syntax list.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5054 Try to increase performance by using this macro."
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5055 `(setq vhdl-syntactic-context
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5056 (cons (cons ,symbol ,relpos) vhdl-syntactic-context)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5057
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5058 (defmacro vhdl-has-syntax (symbol)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5059 "A simple macro to return check the syntax list.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5060 Try to increase performance by using this macro."
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5061 `(assoc ,symbol vhdl-syntactic-context))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5062
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5063 ;; Syntactic element offset manipulation:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5064
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5065 (defun vhdl-read-offset (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5066 "Read new offset value for LANGELEM from minibuffer.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5067 Return a legal value only."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5068 (let ((oldoff (format "%s" (cdr-safe (assq langelem vhdl-offsets-alist))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5069 (errmsg "Offset must be int, func, var, or one of +, -, ++, --: ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5070 (prompt "Offset: ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5071 offset input interned)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5072 (while (not offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5073 (setq input (read-string prompt oldoff)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5074 offset (cond ((string-equal "+" input) '+)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5075 ((string-equal "-" input) '-)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5076 ((string-equal "++" input) '++)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5077 ((string-equal "--" input) '--)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5078 ((string-match "^-?[0-9]+$" input)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5079 (string-to-int input))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5080 ((fboundp (setq interned (intern input)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5081 interned)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5082 ((boundp interned) interned)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5083 ;; error, but don't signal one, keep trying
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5084 ;; to read an input value
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5085 (t (ding)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5086 (setq prompt errmsg)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5087 nil))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5088 offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5089
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5090 (defun vhdl-set-offset (symbol offset &optional add-p)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5091 "Change the value of a syntactic element symbol in `vhdl-offsets-alist'.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5092 SYMBOL is the syntactic element symbol to change and OFFSET is the new
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5093 offset for that syntactic element. Optional ADD says to add SYMBOL to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5094 `vhdl-offsets-alist' if it doesn't already appear there."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5095 (interactive
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5096 (let* ((langelem
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5097 (intern (completing-read
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5098 (concat "Syntactic symbol to change"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5099 (if current-prefix-arg " or add" "")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5100 ": ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5101 (mapcar
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5102 (function
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5103 (lambda (langelem)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5104 (cons (format "%s" (car langelem)) nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5105 vhdl-offsets-alist)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5106 nil (not current-prefix-arg)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5107 ;; initial contents tries to be the last element
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5108 ;; on the syntactic analysis list for the current
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5109 ;; line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5110 (let* ((syntax (vhdl-get-syntactic-context))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5111 (len (length syntax))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5112 (ic (format "%s" (car (nth (1- len) syntax)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5113 ic)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5114 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5115 (offset (vhdl-read-offset langelem)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5116 (list langelem offset current-prefix-arg)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5117 ;; sanity check offset
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5118 (or (eq offset '+)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5119 (eq offset '-)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5120 (eq offset '++)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5121 (eq offset '--)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5122 (integerp offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5123 (fboundp offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5124 (boundp offset)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5125 (error "ERROR: Offset must be int, func, var, or one of +, -, ++, --: %s"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5126 offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5127 (let ((entry (assq symbol vhdl-offsets-alist)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5128 (if entry
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5129 (setcdr entry offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5130 (if add-p
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5131 (setq vhdl-offsets-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5132 (cons (cons symbol offset) vhdl-offsets-alist))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5133 (error "ERROR: %s is not a valid syntactic symbol" symbol))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5134 (vhdl-keep-region-active))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5135
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5136 (defun vhdl-set-style (style &optional local)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5137 "Set `vhdl-mode' variables to use one of several different indentation styles.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5138 STYLE is a string representing the desired style and optional LOCAL is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5139 a flag which, if non-nil, means to make the style variables being
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5140 changed buffer local, instead of the default, which is to set the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5141 global variables. Interactively, the flag comes from the prefix
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5142 argument. The styles are chosen from the `vhdl-style-alist' variable."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5143 (interactive (list (completing-read "Use which VHDL indentation style? "
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5144 vhdl-style-alist nil t)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5145 current-prefix-arg))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5146 (let ((vars (cdr (assoc style vhdl-style-alist))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5147 (or vars
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5148 (error "ERROR: Invalid VHDL indentation style `%s'" style))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5149 ;; set all the variables
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5150 (mapcar
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5151 (function
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5152 (lambda (varentry)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5153 (let ((var (car varentry))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5154 (val (cdr varentry)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5155 (and local
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5156 (make-local-variable var))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5157 ;; special case for vhdl-offsets-alist
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5158 (if (not (eq var 'vhdl-offsets-alist))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5159 (set var val)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5160 ;; reset vhdl-offsets-alist to the default value first
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5161 (setq vhdl-offsets-alist (copy-alist vhdl-offsets-alist-default))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5162 ;; now set the langelems that are different
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5163 (mapcar
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5164 (function
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5165 (lambda (langentry)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5166 (let ((langelem (car langentry))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5167 (offset (cdr langentry)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5168 (vhdl-set-offset langelem offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5169 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5170 val))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5171 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5172 vars))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5173 (vhdl-keep-region-active))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5174
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5175 (defun vhdl-get-offset (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5176 "Get offset from LANGELEM which is a cons cell of the form:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5177 \(SYMBOL . RELPOS). The symbol is matched against
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5178 vhdl-offsets-alist and the offset found there is either returned,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5179 or added to the indentation at RELPOS. If RELPOS is nil, then
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5180 the offset is simply returned."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5181 (let* ((symbol (car langelem))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5182 (relpos (cdr langelem))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5183 (match (assq symbol vhdl-offsets-alist))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5184 (offset (cdr-safe match)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5185 ;; offset can be a number, a function, a variable, or one of the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5186 ;; symbols + or -
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5187 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5188 ((not match)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5189 (if vhdl-strict-syntax-p
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5190 (error "ERROR: Don't know how to indent a %s" symbol)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5191 (setq offset 0
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5192 relpos 0)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5193 ((eq offset '+) (setq offset vhdl-basic-offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5194 ((eq offset '-) (setq offset (- vhdl-basic-offset)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5195 ((eq offset '++) (setq offset (* 2 vhdl-basic-offset)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5196 ((eq offset '--) (setq offset (* 2 (- vhdl-basic-offset))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5197 ((and (not (numberp offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5198 (fboundp offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5199 (setq offset (funcall offset langelem)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5200 ((not (numberp offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5201 (setq offset (eval offset)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5202 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5203 (+ (if (and relpos
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5204 (< relpos (vhdl-point 'bol)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5205 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5206 (goto-char relpos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5207 (current-column))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5208 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5209 offset)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5210
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5211 ;; Syntactic support functions:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5212
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5213 (defun vhdl-in-comment-p ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5214 "Check if point is in a comment."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5215 (eq (vhdl-in-literal) 'comment))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5216
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5217 (defun vhdl-in-string-p ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5218 "Check if point is in a string."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5219 (eq (vhdl-in-literal) 'string))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5220
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5221 (defun vhdl-in-literal ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5222 "Determine if point is in a VHDL literal."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5223 (save-excursion
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5224 (let ((state (parse-partial-sexp (vhdl-point 'bol) (point))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5225 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5226 ((nth 3 state) 'string)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5227 ((nth 4 state) 'comment)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5228 (t nil)))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5229
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5230 (defun vhdl-forward-comment (&optional direction)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5231 "Skip all comments (including whitespace). Skip backwards if DIRECTION is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5232 negative, skip forward otherwise."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5233 (interactive "p")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5234 (if (and direction (< direction 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5235 ;; skip backwards
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5236 (progn
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5237 (skip-chars-backward " \t\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5238 (while (re-search-backward "^[^\"-]*\\(\\(-?\"[^\"]*\"\\|-[^\"-]\\)[^\"-]*\\)*\\(--\\)" (vhdl-point 'bol) t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5239 (goto-char (match-beginning 3))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5240 (skip-chars-backward " \t\n")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5241 ;; skip forwards
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5242 (skip-chars-forward " \t\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5243 (while (looking-at "--.*")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5244 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5245 (skip-chars-forward " \t\n"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5246
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5247 ;; XEmacs hack: work around buggy `forward-comment' in XEmacs 21.4+
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5248 (unless (and vhdl-xemacs (string< "21.2" emacs-version))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5249 (defalias 'vhdl-forward-comment 'forward-comment))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5250
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5251 ;; This is the best we can do in Win-Emacs.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5252 (defun vhdl-win-il (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5253 "Determine if point is in a VHDL literal."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5254 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5255 (let* ((here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5256 (state nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5257 (match nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5258 (lim (or lim (vhdl-point 'bod))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5259 (goto-char lim )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5260 (while (< (point) here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5261 (setq match
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5262 (and (re-search-forward "--\\|[\"']"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5263 here 'move)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5264 (buffer-substring (match-beginning 0) (match-end 0))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5265 (setq state
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5266 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5267 ;; no match
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5268 ((null match) nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5269 ;; looking at the opening of a VHDL style comment
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5270 ((string= "--" match)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5271 (if (<= here (progn (end-of-line) (point))) 'comment))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5272 ;; looking at the opening of a double quote string
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5273 ((string= "\"" match)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5274 (if (not (save-restriction
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5275 ;; this seems to be necessary since the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5276 ;; re-search-forward will not work without it
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5277 (narrow-to-region (point) here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5278 (re-search-forward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5279 ;; this regexp matches a double quote
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5280 ;; which is preceded by an even number
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5281 ;; of backslashes, including zero
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5282 "\\([^\\]\\|^\\)\\(\\\\\\\\\\)*\"" here 'move)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5283 'string))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5284 ;; looking at the opening of a single quote string
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5285 ((string= "'" match)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5286 (if (not (save-restriction
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5287 ;; see comments from above
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5288 (narrow-to-region (point) here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5289 (re-search-forward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5290 ;; this matches a single quote which is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5291 ;; preceded by zero or two backslashes.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5292 "\\([^\\]\\|^\\)\\(\\\\\\\\\\)?'"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5293 here 'move)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5294 'string))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5295 (t nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5296 ) ; end-while
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5297 state)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5298
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5299 (and (string-match "Win-Emacs" emacs-version)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5300 (fset 'vhdl-in-literal 'vhdl-win-il))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5301
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5302 ;; Skipping of "syntactic whitespace". Syntactic whitespace is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5303 ;; defined as lexical whitespace or comments. Search no farther back
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5304 ;; or forward than optional LIM. If LIM is omitted, (point-min) is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5305 ;; used for backward skipping, (point-max) is used for forward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5306 ;; skipping.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5307
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5308 (defun vhdl-forward-syntactic-ws (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5309 "Forward skip of syntactic whitespace."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5310 (save-restriction
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5311 (let* ((lim (or lim (point-max)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5312 (here lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5313 (hugenum (point-max)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5314 (narrow-to-region lim (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5315 (while (/= here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5316 (setq here (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5317 (vhdl-forward-comment hugenum)))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5318
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5319 ;; This is the best we can do in Win-Emacs.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5320 (defun vhdl-win-fsws (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5321 "Forward skip syntactic whitespace for Win-Emacs."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5322 (let ((lim (or lim (point-max)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5323 stop)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5324 (while (not stop)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5325 (skip-chars-forward " \t\n\r\f" lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5326 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5327 ;; vhdl comment
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5328 ((looking-at "--") (end-of-line))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5329 ;; none of the above
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5330 (t (setq stop t))))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5331
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5332 (and (string-match "Win-Emacs" emacs-version)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5333 (fset 'vhdl-forward-syntactic-ws 'vhdl-win-fsws))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5334
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5335 (defun vhdl-backward-syntactic-ws (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5336 "Backward skip over syntactic whitespace."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5337 (save-restriction
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5338 (let* ((lim (or lim (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5339 (here lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5340 (hugenum (- (point-max))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5341 (if (< lim (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5342 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5343 (narrow-to-region lim (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5344 (while (/= here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5345 (setq here (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5346 (vhdl-forward-comment hugenum)))))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5347
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5348 ;; This is the best we can do in Win-Emacs.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5349 (defun vhdl-win-bsws (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5350 "Backward skip syntactic whitespace for Win-Emacs."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5351 (let ((lim (or lim (vhdl-point 'bod)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5352 stop)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5353 (while (not stop)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5354 (skip-chars-backward " \t\n\r\f" lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5355 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5356 ;; vhdl comment
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5357 ((eq (vhdl-in-literal) 'comment)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5358 (skip-chars-backward "^-" lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5359 (skip-chars-backward "-" lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5360 (while (not (or (and (= (following-char) ?-)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5361 (= (char-after (1+ (point))) ?-))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5362 (<= (point) lim)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5363 (skip-chars-backward "^-" lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5364 (skip-chars-backward "-" lim)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5365 ;; none of the above
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5366 (t (setq stop t))))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5367
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5368 (and (string-match "Win-Emacs" emacs-version)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5369 (fset 'vhdl-backward-syntactic-ws 'vhdl-win-bsws))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5370
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5371 ;; Functions to help finding the correct indentation column:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5372
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5373 (defun vhdl-first-word (point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5374 "If the keyword at POINT is at boi, then return (current-column) at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5375 that point, else nil."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5376 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5377 (and (goto-char point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5378 (eq (point) (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5379 (current-column))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5380
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5381 (defun vhdl-last-word (point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5382 "If the keyword at POINT is at eoi, then return (current-column) at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5383 that point, else nil."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5384 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5385 (and (goto-char point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5386 (save-excursion (or (eq (progn (forward-sexp) (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5387 (vhdl-point 'eoi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5388 (looking-at "\\s-*\\(--\\)?")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5389 (current-column))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5390
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5391 ;; Core syntactic evaluation functions:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5392
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5393 (defconst vhdl-libunit-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5394 "\\b\\(architecture\\|configuration\\|entity\\|package\\)\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5395
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5396 (defun vhdl-libunit-p ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5397 (and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5398 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5399 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5400 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5401 (not (looking-at "is\\b[^_]")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5402 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5403 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5404 (and (not (looking-at "use\\b[^_]"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5405 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5406 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5407 (vhdl-forward-syntactic-ws)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5408 (/= (following-char) ?:))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5409 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5410
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5411 (defconst vhdl-defun-re
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5412 "\\b\\(architecture\\|block\\|configuration\\|entity\\|package\\|process\\|procedural\\|procedure\\|function\\)\\b[^_]")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5413
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5414 (defun vhdl-defun-p ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5415 (save-excursion
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5416 (if (looking-at "block\\|process\\|procedural")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5417 ;; "block", "process", "procedural":
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5418 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5419 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5420 (not (looking-at "end\\s-+\\w")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5421 ;; "architecture", "configuration", "entity",
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5422 ;; "package", "procedure", "function":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5423 t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5424
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5425 (defun vhdl-corresponding-defun ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5426 "If the word at the current position corresponds to a \"defun\"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5427 keyword, then return a string that can be used to find the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5428 corresponding \"begin\" keyword, else return nil."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5429 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5430 (and (looking-at vhdl-defun-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5431 (vhdl-defun-p)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5432 (if (looking-at "block\\|process\\|procedural")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5433 ;; "block", "process". "procedural:
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5434 (buffer-substring (match-beginning 0) (match-end 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5435 ;; "architecture", "configuration", "entity", "package",
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5436 ;; "procedure", "function":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5437 "is"))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5438
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5439 (defconst vhdl-begin-fwd-re
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5440 "\\b\\(is\\|begin\\|block\\|component\\|generate\\|then\\|else\\|loop\\|process\\|procedural\\|units\\|record\\|for\\)\\b\\([^_]\\|\\'\\)"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5441 "A regular expression for searching forward that matches all known
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5442 \"begin\" keywords.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5443
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5444 (defconst vhdl-begin-bwd-re
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5445 "\\b\\(is\\|begin\\|block\\|component\\|generate\\|then\\|else\\|loop\\|process\\|procedural\\|units\\|record\\|for\\)\\b[^_]"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5446 "A regular expression for searching backward that matches all known
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5447 \"begin\" keywords.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5448
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5449 (defun vhdl-begin-p (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5450 "Return t if we are looking at a real \"begin\" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5451 Assumes that the caller will make sure that we are looking at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5452 vhdl-begin-fwd-re, and are not inside a literal, and that we are not in
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5453 the middle of an identifier that just happens to contain a \"begin\"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5454 keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5455 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5456 ;; "[architecture|case|configuration|entity|package|
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5457 ;; procedure|function] ... is":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5458 ((and (looking-at "i")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5459 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5460 ;; Skip backward over first sexp (needed to skip over a
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5461 ;; procedure interface list, and is harmless in other
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5462 ;; situations). Note that we need "return" in the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5463 ;; following search list so that we don't run into
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5464 ;; semicolons in the function interface list.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5465 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5466 (let (foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5467 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5468 (re-search-backward
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5469 ";\\|\\b\\(architecture\\|case\\|configuration\\|entity\\|package\\|procedure\\|return\\|is\\|begin\\|process\\|procedural\\|block\\)\\b[^_]"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5470 lim 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5471 (if (or (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5472 (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5473 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5474 (setq foundp t))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5475 (and (/= (following-char) ?\;)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5476 (not (looking-at "is\\|begin\\|process\\|procedural\\|block")))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5477 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5478 ;; "begin", "then":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5479 ((looking-at "be\\|t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5480 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5481 ;; "else":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5482 ((and (looking-at "e")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5483 ;; make sure that the "else" isn't inside a
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5484 ;; conditional signal assignment.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5485 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5486 (re-search-backward ";\\|\\bwhen\\b[^_]" lim 'move)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5487 (or (eq (following-char) ?\;)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5488 (eq (point) lim))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5489 t)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5490 ;; "block", "generate", "loop", "process", "procedural",
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5491 ;; "units", "record":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5492 ((and (looking-at "bl\\|[glpur]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5493 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5494 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5495 (not (looking-at "end\\s-+\\w"))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5496 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5497 ;; "component":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5498 ((and (looking-at "c")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5499 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5500 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5501 (not (looking-at "end\\s-+\\w")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5502 ;; look out for the dreaded entity class in an attribute
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5503 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5504 (vhdl-backward-syntactic-ws lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5505 (/= (preceding-char) ?:)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5506 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5507 ;; "for" (inside configuration declaration):
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5508 ((and (looking-at "f")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5509 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5510 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5511 (not (looking-at "end\\s-+\\w")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5512 (vhdl-has-syntax 'configuration))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5513 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5514 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5515
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5516 (defun vhdl-corresponding-mid (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5517 (cond
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5518 ((looking-at "is\\|block\\|generate\\|process\\|procedural")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5519 "begin")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5520 ((looking-at "then")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5521 "<else>")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5522 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5523 "end")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5524
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5525 (defun vhdl-corresponding-end (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5526 "If the word at the current position corresponds to a \"begin\"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5527 keyword, then return a vector containing enough information to find
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5528 the corresponding \"end\" keyword, else return nil. The keyword to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5529 search forward for is aref 0. The column in which the keyword must
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5530 appear is aref 1 or nil if any column is suitable.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5531 Assumes that the caller will make sure that we are not in the middle
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5532 of an identifier that just happens to contain a \"begin\" keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5533 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5534 (and (looking-at vhdl-begin-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5535 (/= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5536 (not (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5537 (vhdl-begin-p lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5538 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5539 ;; "is", "generate", "loop":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5540 ((looking-at "[igl]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5541 (vector "end"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5542 (and (vhdl-last-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5543 (or (vhdl-first-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5544 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5545 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5546 (vhdl-backward-skip-label lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5547 (vhdl-first-word (point)))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5548 ;; "begin", "else", "for":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5549 ((looking-at "be\\|[ef]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5550 (vector "end"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5551 (and (vhdl-last-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5552 (or (vhdl-first-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5553 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5554 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5555 (vhdl-backward-skip-label lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5556 (vhdl-first-word (point)))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5557 ;; "component", "units", "record":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5558 ((looking-at "[cur]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5559 ;; The first end found will close the block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5560 (vector "end" nil))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5561 ;; "block", "process", "procedural":
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5562 ((looking-at "bl\\|p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5563 (vector "end"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5564 (or (vhdl-first-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5565 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5566 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5567 (vhdl-backward-skip-label lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5568 (vhdl-first-word (point))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5569 ;; "then":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5570 ((looking-at "t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5571 (vector "elsif\\|else\\|end\\s-+if"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5572 (and (vhdl-last-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5573 (or (vhdl-first-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5574 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5575 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5576 (vhdl-backward-skip-label lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5577 (vhdl-first-word (point)))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5578 ))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5579
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5580 (defconst vhdl-end-fwd-re "\\b\\(end\\|else\\|elsif\\)\\b\\([^_]\\|\\'\\)")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5581
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5582 (defconst vhdl-end-bwd-re "\\b\\(end\\|else\\|elsif\\)\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5583
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5584 (defun vhdl-end-p (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5585 "Return t if we are looking at a real \"end\" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5586 Assumes that the caller will make sure that we are looking at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5587 vhdl-end-fwd-re, and are not inside a literal, and that we are not in
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5588 the middle of an identifier that just happens to contain an \"end\"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5589 keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5590 (or (not (looking-at "else"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5591 ;; make sure that the "else" isn't inside a conditional signal
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5592 ;; assignment.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5593 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5594 (re-search-backward ";\\|\\bwhen\\b[^_]" lim 'move)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5595 (or (eq (following-char) ?\;)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5596 (eq (point) lim)))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5597
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5598 (defun vhdl-corresponding-begin (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5599 "If the word at the current position corresponds to an \"end\"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5600 keyword, then return a vector containing enough information to find
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5601 the corresponding \"begin\" keyword, else return nil. The keyword to
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5602 search backward for is aref 0. The column in which the keyword must
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5603 appear is aref 1 or nil if any column is suitable. The supplementary
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5604 keyword to search forward for is aref 2 or nil if this is not
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5605 required. If aref 3 is t, then the \"begin\" keyword may be found in
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5606 the middle of a statement.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5607 Assumes that the caller will make sure that we are not in the middle
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5608 of an identifier that just happens to contain an \"end\" keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5609 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5610 (let (pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5611 (if (and (looking-at vhdl-end-fwd-re)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5612 (not (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5613 (vhdl-end-p lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5614 (if (looking-at "el")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5615 ;; "else", "elsif":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5616 (vector "if\\|elsif" (vhdl-first-word (point)) "then" nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5617 ;; "end ...":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5618 (setq pos (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5619 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5620 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5621 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5622 ;; "end if":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5623 ((looking-at "if\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5624 (vector "else\\|elsif\\|if"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5625 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5626 "else\\|then" nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5627 ;; "end component":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5628 ((looking-at "component\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5629 (vector (buffer-substring (match-beginning 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5630 (match-end 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5631 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5632 nil nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5633 ;; "end units", "end record":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5634 ((looking-at "\\(units\\|record\\)\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5635 (vector (buffer-substring (match-beginning 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5636 (match-end 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5637 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5638 nil t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5639 ;; "end block", "end process", "end procedural":
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5640 ((looking-at "\\(block\\|process\\|procedural\\)\\b[^_]")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5641 (vector "begin" (vhdl-first-word pos) nil nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5642 ;; "end case":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5643 ((looking-at "case\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5644 (vector "case" (vhdl-first-word pos) "is" nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5645 ;; "end generate":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5646 ((looking-at "generate\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5647 (vector "generate\\|for\\|if"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5648 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5649 "generate" nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5650 ;; "end loop":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5651 ((looking-at "loop\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5652 (vector "loop\\|while\\|for"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5653 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5654 "loop" nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5655 ;; "end for" (inside configuration declaration):
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5656 ((looking-at "for\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5657 (vector "for" (vhdl-first-word pos) nil nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5658 ;; "end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5659 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5660 (vector "begin\\|architecture\\|configuration\\|entity\\|package\\|procedure\\|function"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5661 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5662 ;; return an alist of (statement . keyword) mappings
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5663 '(
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5664 ;; "begin ... end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5665 ("begin" . nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5666 ;; "architecture ... is ... begin ... end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5667 ("architecture" . "is")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5668 ;; "configuration ... is ... end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5669 ("configuration" . "is")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5670 ;; "entity ... is ... end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5671 ("entity" . "is")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5672 ;; "package ... is ... end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5673 ("package" . "is")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5674 ;; "procedure ... is ... begin ... end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5675 ("procedure" . "is")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5676 ;; "function ... is ... begin ... end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5677 ("function" . "is")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5678 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5679 nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5680 ))) ; "end ..."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5681 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5682
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5683 (defconst vhdl-leader-re
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5684 "\\b\\(block\\|component\\|process\\|procedural\\|for\\)\\b[^_]")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5685
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5686 (defun vhdl-end-of-leader ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5687 (save-excursion
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5688 (cond ((looking-at "block\\|process\\|procedural")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5689 (if (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5690 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5691 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5692 (= (following-char) ?\())
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5693 (forward-sexp 2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5694 (forward-sexp))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5695 (when (looking-at "[ \t\n]*is")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5696 (goto-char (match-end 0)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5697 (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5698 ((looking-at "component")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5699 (forward-sexp 2)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5700 (when (looking-at "[ \t\n]*is")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5701 (goto-char (match-end 0)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5702 (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5703 ((looking-at "for")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5704 (forward-sexp 2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5705 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5706 (while (looking-at "[,:(]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5707 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5708 (skip-chars-forward " \t\n"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5709 (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5710 (t nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5711 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5712
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5713 (defconst vhdl-trailer-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5714 "\\b\\(is\\|then\\|generate\\|loop\\)\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5715
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5716 (defconst vhdl-statement-fwd-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5717 "\\b\\(if\\|for\\|while\\)\\b\\([^_]\\|\\'\\)"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5718 "A regular expression for searching forward that matches all known
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5719 \"statement\" keywords.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5720
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5721 (defconst vhdl-statement-bwd-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5722 "\\b\\(if\\|for\\|while\\)\\b[^_]"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5723 "A regular expression for searching backward that matches all known
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5724 \"statement\" keywords.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5725
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5726 (defun vhdl-statement-p (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5727 "Return t if we are looking at a real \"statement\" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5728 Assumes that the caller will make sure that we are looking at
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5729 vhdl-statement-fwd-re, and are not inside a literal, and that we are not
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5730 in the middle of an identifier that just happens to contain a
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5731 \"statement\" keyword."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5732 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5733 ;; "for" ... "generate":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5734 ((and (looking-at "f")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5735 ;; Make sure it's the start of a parameter specification.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5736 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5737 (forward-sexp 2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5738 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5739 (looking-at "in\\b[^_]"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5740 ;; Make sure it's not an "end for".
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5741 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5742 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5743 (not (looking-at "end\\s-+\\w"))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5744 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5745 ;; "if" ... "then", "if" ... "generate", "if" ... "loop":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5746 ((and (looking-at "i")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5747 ;; Make sure it's not an "end if".
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5748 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5749 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5750 (not (looking-at "end\\s-+\\w"))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5751 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5752 ;; "while" ... "loop":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5753 ((looking-at "w")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5754 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5755 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5756
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5757 (defconst vhdl-case-alternative-re "when[( \t\n][^;=>]+=>"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5758 "Regexp describing a case statement alternative key.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5759
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5760 (defun vhdl-case-alternative-p (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5761 "Return t if we are looking at a real case alternative.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5762 Assumes that the caller will make sure that we are looking at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5763 vhdl-case-alternative-re, and are not inside a literal, and that
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5764 we are not in the middle of an identifier that just happens to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5765 contain a \"when\" keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5766 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5767 (let (foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5768 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5769 (re-search-backward ";\\|<=" lim 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5770 (if (or (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5771 (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5772 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5773 (setq foundp t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5774 (or (eq (following-char) ?\;)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5775 (eq (point) lim)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5776 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5777
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5778 ;; Core syntactic movement functions:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5779
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5780 (defconst vhdl-b-t-b-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5781 (concat vhdl-begin-bwd-re "\\|" vhdl-end-bwd-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5782
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5783 (defun vhdl-backward-to-block (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5784 "Move backward to the previous \"begin\" or \"end\" keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5785 (let (foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5786 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5787 (re-search-backward vhdl-b-t-b-re lim 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5788 (if (or (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5789 (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5790 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5791 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5792 ;; "begin" keyword:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5793 ((and (looking-at vhdl-begin-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5794 (/= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5795 (vhdl-begin-p lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5796 (setq foundp 'begin))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5797 ;; "end" keyword:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5798 ((and (looking-at vhdl-end-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5799 (/= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5800 (vhdl-end-p lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5801 (setq foundp 'end))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5802 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5803 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5804 foundp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5805 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5806
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5807 (defun vhdl-forward-sexp (&optional count lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5808 "Move forward across one balanced expression (sexp).
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5809 With COUNT, do it that many times."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5810 (interactive "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5811 (let ((count (or count 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5812 (case-fold-search t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5813 end-vec target)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5814 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5815 (while (> count 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5816 ;; skip whitespace
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5817 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5818 ;; Check for an unbalanced "end" keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5819 (if (and (looking-at vhdl-end-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5820 (/= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5821 (not (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5822 (vhdl-end-p lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5823 (not (looking-at "else")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5824 (error
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5825 "ERROR: Containing expression ends prematurely in vhdl-forward-sexp"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5826 ;; If the current keyword is a "begin" keyword, then find the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5827 ;; corresponding "end" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5828 (if (setq end-vec (vhdl-corresponding-end lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5829 (let (
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5830 ;; end-re is the statement keyword to search for
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5831 (end-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5832 (concat "\\b\\(" (aref end-vec 0) "\\)\\b\\([^_]\\|\\'\\)"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5833 ;; column is either the statement keyword target column
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5834 ;; or nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5835 (column (aref end-vec 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5836 (eol (vhdl-point 'eol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5837 foundp literal placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5838 ;; Look for the statement keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5839 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5840 (re-search-forward end-re nil t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5841 (setq placeholder (match-end 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5842 (goto-char (match-beginning 0)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5843 ;; If we are in a literal, or not in the right target
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5844 ;; column and not on the same line as the begin, then
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5845 ;; try again.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5846 (if (or (and column
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5847 (/= (current-indentation) column)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5848 (> (point) eol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5849 (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5850 (setq literal (vhdl-in-literal)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5851 (if (eq literal 'comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5852 (end-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5853 (forward-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5854 ;; An "else" keyword corresponds to both the opening brace
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5855 ;; of the following sexp and the closing brace of the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5856 ;; previous sexp.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5857 (if (not (looking-at "else"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5858 (goto-char placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5859 (setq foundp t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5860 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5861 (if (not foundp)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5862 (error "ERROR: Unbalanced keywords in vhdl-forward-sexp"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5863 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5864 ;; If the current keyword is not a "begin" keyword, then just
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5865 ;; perform the normal forward-sexp.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5866 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5867 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5868 (setq count (1- count))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5869 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5870 (setq target (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5871 (goto-char target)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5872 nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5873
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5874 (defun vhdl-backward-sexp (&optional count lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5875 "Move backward across one balanced expression (sexp).
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5876 With COUNT, do it that many times. LIM bounds any required backward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5877 searches."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5878 (interactive "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5879 (let ((count (or count 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5880 (case-fold-search t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5881 begin-vec target)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5882 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5883 (while (> count 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5884 ;; Perform the normal backward-sexp, unless we are looking at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5885 ;; "else" - an "else" keyword corresponds to both the opening brace
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5886 ;; of the following sexp and the closing brace of the previous sexp.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5887 (if (and (looking-at "else\\b\\([^_]\\|\\'\\)")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5888 (/= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5889 (not (vhdl-in-literal)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5890 nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5891 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5892 (if (and (looking-at vhdl-begin-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5893 (/= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5894 (not (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5895 (vhdl-begin-p lim))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5896 (error "ERROR: Containing expression ends prematurely in vhdl-backward-sexp")))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5897 ;; If the current keyword is an "end" keyword, then find the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5898 ;; corresponding "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5899 (if (and (setq begin-vec (vhdl-corresponding-begin lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5900 (/= (preceding-char) ?_))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5901 (let (
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5902 ;; begin-re is the statement keyword to search for
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5903 (begin-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5904 (concat "\\b\\(" (aref begin-vec 0) "\\)\\b[^_]"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5905 ;; column is either the statement keyword target column
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5906 ;; or nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5907 (column (aref begin-vec 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5908 ;; internal-p controls where the statement keyword can
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5909 ;; be found.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5910 (internal-p (aref begin-vec 3))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5911 (last-backward (point)) last-forward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5912 foundp literal keyword)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5913 ;; Look for the statement keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5914 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5915 (re-search-backward begin-re lim t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5916 (setq keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5917 (buffer-substring (match-beginning 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5918 (match-end 1))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5919 ;; If we are in a literal or in the wrong column,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5920 ;; then try again.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5921 (if (or (and column
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5922 (and (/= (current-indentation) column)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5923 ;; possibly accept current-column as
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5924 ;; well as current-indentation.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5925 (or (not internal-p)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5926 (/= (current-column) column))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5927 (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5928 (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5929 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5930 ;; If there is a supplementary keyword, then
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5931 ;; search forward for it.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5932 (if (and (setq begin-re (aref begin-vec 2))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5933 (or (not (listp begin-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5934 ;; If begin-re is an alist, then find the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5935 ;; element corresponding to the actual
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5936 ;; keyword that we found.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5937 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5938 (setq begin-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5939 (assoc keyword begin-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5940 (and begin-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5941 (setq begin-re (cdr begin-re))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5942 (and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5943 (setq begin-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5944 (concat "\\b\\(" begin-re "\\)\\b[^_]"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5945 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5946 (setq last-forward (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5947 ;; Look for the supplementary keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5948 ;; (bounded by the backward search start
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5949 ;; point).
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5950 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5951 (re-search-forward begin-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5952 last-backward t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5953 (goto-char (match-beginning 1)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5954 ;; If we are in a literal, then try again.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5955 (if (or (= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5956 (setq literal
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5957 (vhdl-in-literal)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5958 (if (eq literal 'comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5959 (goto-char
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5960 (min (vhdl-point 'eol) last-backward))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5961 (forward-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5962 ;; We have found the supplementary keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5963 ;; Save the position of the keyword in foundp.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5964 (setq foundp (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5965 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5966 foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5967 ;; If the supplementary keyword was found, then
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5968 ;; move point to the supplementary keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5969 (goto-char foundp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5970 ;; If there was no supplementary keyword, then
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5971 ;; point is already at the statement keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5972 (setq foundp t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5973 ) ; end of the search for the statement keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5974 (if (not foundp)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5975 (error "ERROR: Unbalanced keywords in vhdl-backward-sexp"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5976 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5977 (setq count (1- count))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5978 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5979 (setq target (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5980 (goto-char target)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5981 nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5982
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5983 (defun vhdl-backward-up-list (&optional count limit)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5984 "Move backward out of one level of blocks.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5985 With argument, do this that many times."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5986 (interactive "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5987 (let ((count (or count 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5988 target)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5989 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5990 (while (> count 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5991 (if (looking-at vhdl-defun-re)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5992 (error "ERROR: Unbalanced blocks"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5993 (vhdl-backward-to-block limit)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5994 (setq count (1- count)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5995 (setq target (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5996 (goto-char target)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5997
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5998 (defun vhdl-end-of-defun (&optional count)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5999 "Move forward to the end of a VHDL defun."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6000 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6001 (let ((case-fold-search t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6002 (vhdl-beginning-of-defun)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6003 (if (not (looking-at "block\\|process\\|procedural"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6004 (re-search-forward "\\bis\\b"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6005 (vhdl-forward-sexp)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6006
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6007 (defun vhdl-mark-defun ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6008 "Put mark at end of this \"defun\", point at beginning."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6009 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6010 (let ((case-fold-search t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6011 (push-mark)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6012 (vhdl-beginning-of-defun)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6013 (push-mark)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6014 (if (not (looking-at "block\\|process\\|procedural"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6015 (re-search-forward "\\bis\\b"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6016 (vhdl-forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6017 (exchange-point-and-mark)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6018
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6019 (defun vhdl-beginning-of-libunit ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6020 "Move backward to the beginning of a VHDL library unit.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6021 Returns the location of the corresponding begin keyword, unless search
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6022 stops due to beginning or end of buffer.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6023 Note that if point is between the \"libunit\" keyword and the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6024 corresponding \"begin\" keyword, then that libunit will not be
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6025 recognised, and the search will continue backwards. If point is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6026 at the \"begin\" keyword, then the defun will be recognised. The
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6027 returned point is at the first character of the \"libunit\" keyword."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6028 (let ((last-forward (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6029 (last-backward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6030 ;; Just in case we are actually sitting on the "begin"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6031 ;; keyword, allow for the keyword and an extra character,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6032 ;; as this will be used when looking forward for the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6033 ;; "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6034 (save-excursion (forward-word 1) (1+ (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6035 foundp literal placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6036 ;; Find the "libunit" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6037 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6038 (re-search-backward vhdl-libunit-re nil 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6039 ;; If we are in a literal, or not at a real libunit, then try again.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6040 (if (or (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6041 (vhdl-in-literal)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6042 (not (vhdl-libunit-p)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6043 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6044 ;; Find the corresponding "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6045 (setq last-forward (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6046 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6047 (re-search-forward "\\bis\\b[^_]" last-backward t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6048 (setq placeholder (match-beginning 0)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6049 (if (or (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6050 (setq literal (vhdl-in-literal)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6051 ;; It wasn't a real keyword, so keep searching.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6052 (if (eq literal 'comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6053 (goto-char
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6054 (min (vhdl-point 'eol) last-backward))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6055 (forward-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6056 ;; We have found the begin keyword, loop will exit.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6057 (setq foundp placeholder)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6058 ;; Go back to the libunit keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6059 (goto-char last-forward)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6060 foundp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6061
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6062 (defun vhdl-beginning-of-defun (&optional count)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6063 "Move backward to the beginning of a VHDL defun.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6064 With argument, do it that many times.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6065 Returns the location of the corresponding begin keyword, unless search
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6066 stops due to beginning or end of buffer."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6067 ;; Note that if point is between the "defun" keyword and the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6068 ;; corresponding "begin" keyword, then that defun will not be
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6069 ;; recognised, and the search will continue backwards. If point is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6070 ;; at the "begin" keyword, then the defun will be recognised. The
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6071 ;; returned point is at the first character of the "defun" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6072 (interactive "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6073 (let ((count (or count 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6074 (case-fold-search t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6075 (last-forward (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6076 foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6077 (while (> count 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6078 (setq foundp nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6079 (goto-char last-forward)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6080 (let ((last-backward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6081 ;; Just in case we are actually sitting on the "begin"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6082 ;; keyword, allow for the keyword and an extra character,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6083 ;; as this will be used when looking forward for the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6084 ;; "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6085 (save-excursion (forward-word 1) (1+ (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6086 begin-string literal)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6087 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6088 (re-search-backward vhdl-defun-re nil 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6089 ;; If we are in a literal, then try again.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6090 (if (or (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6091 (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6092 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6093 (if (setq begin-string (vhdl-corresponding-defun))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6094 ;; This is a real defun keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6095 ;; Find the corresponding "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6096 ;; Look for the begin keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6097 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6098 ;; Save the search start point.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6099 (setq last-forward (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6100 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6101 (search-forward begin-string last-backward t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6102 (if (or (= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6103 (save-match-data
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6104 (setq literal (vhdl-in-literal))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6105 ;; It wasn't a real keyword, so keep searching.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6106 (if (eq literal 'comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6107 (goto-char
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6108 (min (vhdl-point 'eol) last-backward))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6109 (forward-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6110 ;; We have found the begin keyword, loop will exit.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6111 (setq foundp (match-beginning 0)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6112 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6113 ;; Go back to the defun keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6114 (goto-char last-forward)) ; end search for begin keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6115 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6116 ) ; end of the search for the defun keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6117 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6118 (setq count (1- count))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6119 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6120 (vhdl-keep-region-active)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6121 foundp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6122
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6123 (defun vhdl-beginning-of-statement (&optional count lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6124 "Go to the beginning of the innermost VHDL statement.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6125 With prefix arg, go back N - 1 statements. If already at the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6126 beginning of a statement then go to the beginning of the preceding
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6127 one. If within a string or comment, or next to a comment (only
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6128 whitespace between), move by sentences instead of statements.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6129
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6130 When called from a program, this function takes 2 optional args: the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6131 prefix arg, and a buffer position limit which is the farthest back to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6132 search."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6133 (interactive "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6134 (let ((count (or count 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6135 (case-fold-search t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6136 (lim (or lim (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6137 (here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6138 state)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6139 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6140 (goto-char lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6141 (setq state (parse-partial-sexp (point) here nil nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6142 (if (and (interactive-p)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6143 (or (nth 3 state)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6144 (nth 4 state)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6145 (looking-at (concat "[ \t]*" comment-start-skip))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6146 (forward-sentence (- count))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6147 (while (> count 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6148 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6149 (setq count (1- count))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6150 ;; its possible we've been left up-buf of lim
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6151 (goto-char (max (point) lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6152 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6153 (vhdl-keep-region-active))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6154
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6155 (defconst vhdl-e-o-s-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6156 (concat ";\\|" vhdl-begin-fwd-re "\\|" vhdl-statement-fwd-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6157
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6158 (defun vhdl-end-of-statement ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6159 "Very simple implementation."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6160 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6161 (re-search-forward vhdl-e-o-s-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6162
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6163 (defconst vhdl-b-o-s-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6164 (concat ";\\|\(\\|\)\\|\\bwhen\\b[^_]\\|"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6165 vhdl-begin-bwd-re "\\|" vhdl-statement-bwd-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6166
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6167 (defun vhdl-beginning-of-statement-1 (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6168 "Move to the start of the current statement, or the previous
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6169 statement if already at the beginning of one."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6170 (let ((lim (or lim (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6171 (here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6172 (pos (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6173 donep)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6174 ;; go backwards one balanced expression, but be careful of
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6175 ;; unbalanced paren being reached
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6176 (if (not (vhdl-safe (progn (backward-sexp) t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6177 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6178 (backward-up-list 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6179 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6180 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6181 (setq donep t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6182 (while (and (not donep)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6183 (not (bobp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6184 ;; look backwards for a statement boundary
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6185 (re-search-backward vhdl-b-o-s-re lim 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6186 (if (or (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6187 (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6188 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6189 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6190 ;; If we are looking at an open paren, then stop after it
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6191 ((eq (following-char) ?\()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6192 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6193 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6194 (setq donep t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6195 ;; If we are looking at a close paren, then skip it
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6196 ((eq (following-char) ?\))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6197 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6198 (setq pos (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6199 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6200 (if (< (point) lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6201 (progn (goto-char pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6202 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6203 (setq donep t))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6204 ;; If we are looking at a semicolon, then stop
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6205 ((eq (following-char) ?\;)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6206 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6207 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6208 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6209 (setq donep t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6210 ;; If we are looking at a "begin", then stop
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6211 ((and (looking-at vhdl-begin-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6212 (/= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6213 (vhdl-begin-p nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6214 ;; If it's a leader "begin", then find the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6215 ;; right place
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6216 (if (looking-at vhdl-leader-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6217 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6218 ;; set a default stop point at the begin
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6219 (setq pos (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6220 ;; is the start point inside the leader area ?
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6221 (goto-char (vhdl-end-of-leader))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6222 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6223 (if (< (point) here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6224 ;; start point was not inside leader area
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6225 ;; set stop point at word after leader
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6226 (setq pos (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6227 (forward-word 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6228 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6229 (setq pos (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6230 (goto-char pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6231 (setq donep t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6232 ;; If we are looking at a "statement", then stop
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6233 ((and (looking-at vhdl-statement-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6234 (/= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6235 (vhdl-statement-p nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6236 (setq donep t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6237 ;; If we are looking at a case alternative key, then stop
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6238 ((and (looking-at vhdl-case-alternative-re)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6239 (vhdl-case-alternative-p lim))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6240 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6241 ;; set a default stop point at the when
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6242 (setq pos (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6243 ;; is the start point inside the case alternative key ?
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6244 (looking-at vhdl-case-alternative-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6245 (goto-char (match-end 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6246 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6247 (if (< (point) here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6248 ;; start point was not inside the case alternative key
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6249 ;; set stop point at word after case alternative keyleader
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6250 (setq pos (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6251 (goto-char pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6252 (setq donep t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6253 ;; Bogus find, continue
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6254 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6255 (backward-char)))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6256 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6257
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6258 ;; Defuns for calculating the current syntactic state:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6259
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6260 (defun vhdl-get-library-unit (bod placeholder)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6261 "If there is an enclosing library unit at bod, with it's \"begin\"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6262 keyword at placeholder, then return the library unit type."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6263 (let ((here (vhdl-point 'bol)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6264 (if (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6265 (goto-char placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6266 (vhdl-safe (vhdl-forward-sexp 1 bod))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6267 (<= here (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6268 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6269 (goto-char bod)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6270 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6271 ((looking-at "e") 'entity)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6272 ((looking-at "a") 'architecture)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6273 ((looking-at "c") 'configuration)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6274 ((looking-at "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6275 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6276 (goto-char bod)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6277 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6278 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6279 (if (looking-at "body\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6280 'package-body 'package))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6281 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6282
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6283 (defun vhdl-get-block-state (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6284 "Finds and records all the closest opens.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6285 lim is the furthest back we need to search (it should be the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6286 previous libunit keyword)."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6287 (let ((here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6288 (lim (or lim (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6289 keyword sexp-start sexp-mid sexp-end
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6290 preceding-sexp containing-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6291 containing-begin containing-mid containing-paren)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6292 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6293 ;; Find the containing-paren, and use that as the limit
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6294 (if (setq containing-paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6295 (save-restriction
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6296 (narrow-to-region lim (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6297 (vhdl-safe (scan-lists (point) -1 1))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6298 (setq lim containing-paren))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6299 ;; Look backwards for "begin" and "end" keywords.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6300 (while (and (> (point) lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6301 (not containing-sexp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6302 (setq keyword (vhdl-backward-to-block lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6303 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6304 ((eq keyword 'begin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6305 ;; Found a "begin" keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6306 (setq sexp-start (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6307 (setq sexp-mid (vhdl-corresponding-mid lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6308 (setq sexp-end (vhdl-safe
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6309 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6310 (vhdl-forward-sexp 1 lim) (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6311 (if (and sexp-end (<= sexp-end here))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6312 ;; we want to record this sexp, but we only want to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6313 ;; record the last-most of any of them before here
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6314 (or preceding-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6315 (setq preceding-sexp sexp-start))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6316 ;; we're contained in this sexp so put sexp-start on
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6317 ;; front of list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6318 (setq containing-sexp sexp-start)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6319 (setq containing-mid sexp-mid)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6320 (setq containing-begin t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6321 ((eq keyword 'end)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6322 ;; Found an "end" keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6323 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6324 (setq sexp-end (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6325 (setq sexp-mid nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6326 (setq sexp-start
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6327 (or (vhdl-safe (vhdl-backward-sexp 1 lim) (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6328 (progn (backward-sexp) (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6329 ;; we want to record this sexp, but we only want to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6330 ;; record the last-most of any of them before here
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6331 (or preceding-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6332 (setq preceding-sexp sexp-start)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6333 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6334 ;; Check if the containing-paren should be the containing-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6335 (if (and containing-paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6336 (or (null containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6337 (< containing-sexp containing-paren)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6338 (setq containing-sexp containing-paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6339 preceding-sexp nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6340 containing-begin nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6341 containing-mid nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6342 (vector containing-sexp preceding-sexp containing-begin containing-mid)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6343 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6344
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6345
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6346 (defconst vhdl-s-c-a-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6347 (concat vhdl-case-alternative-re "\\|" vhdl-case-header-key))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6348
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6349 (defun vhdl-skip-case-alternative (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6350 "Skip forward over case/when bodies, with optional maximal
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6351 limit. If no next case alternative is found, nil is returned and point
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6352 is not moved."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6353 (let ((lim (or lim (point-max)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6354 (here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6355 donep foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6356 (while (and (< (point) lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6357 (not donep))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6358 (if (and (re-search-forward vhdl-s-c-a-re lim 'move)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6359 (save-match-data
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6360 (not (vhdl-in-literal)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6361 (/= (match-beginning 0) here))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6362 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6363 (goto-char (match-beginning 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6364 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6365 ((and (looking-at "case")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6366 (re-search-forward "\\bis[^_]" lim t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6367 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6368 (vhdl-forward-sexp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6369 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6370 (setq donep t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6371 foundp t))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6372 (if (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6373 (goto-char here))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6374 foundp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6375
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6376 (defun vhdl-backward-skip-label (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6377 "Skip backward over a label, with optional maximal
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6378 limit. If label is not found, nil is returned and point
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6379 is not moved."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6380 (let ((lim (or lim (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6381 placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6382 (if (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6383 (vhdl-backward-syntactic-ws lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6384 (and (eq (preceding-char) ?:)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6385 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6386 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6387 (setq placeholder (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6388 (looking-at vhdl-label-key))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6389 (goto-char placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6390 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6391
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6392 (defun vhdl-forward-skip-label (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6393 "Skip forward over a label, with optional maximal
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6394 limit. If label is not found, nil is returned and point
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6395 is not moved."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6396 (let ((lim (or lim (point-max))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6397 (if (looking-at vhdl-label-key)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6398 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6399 (goto-char (match-end 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6400 (vhdl-forward-syntactic-ws lim)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6401 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6402
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6403 (defun vhdl-get-syntactic-context ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6404 "Guess the syntactic description of the current line of VHDL code."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6405 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6406 (save-restriction
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6407 (beginning-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6408 (let* ((indent-point (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6409 (case-fold-search t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6410 vec literal containing-sexp preceding-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6411 containing-begin containing-mid containing-leader
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6412 char-before-ip char-after-ip begin-after-ip end-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6413 placeholder lim library-unit
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6414 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6415
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6416 ;; Reset the syntactic context
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6417 (setq vhdl-syntactic-context nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6418
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6419 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6420 ;; Move to the start of the previous library unit, and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6421 ;; record the position of the "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6422 (setq placeholder (vhdl-beginning-of-libunit))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6423 ;; The position of the "libunit" keyword gives us a gross
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6424 ;; limit point.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6425 (setq lim (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6426 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6427
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6428 ;; If there is a previous library unit, and we are enclosed by
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6429 ;; it, then set the syntax accordingly.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6430 (and placeholder
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6431 (setq library-unit (vhdl-get-library-unit lim placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6432 (vhdl-add-syntax library-unit lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6433
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6434 ;; Find the surrounding state.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6435 (if (setq vec (vhdl-get-block-state lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6436 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6437 (setq containing-sexp (aref vec 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6438 (setq preceding-sexp (aref vec 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6439 (setq containing-begin (aref vec 2))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6440 (setq containing-mid (aref vec 3))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6441 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6442
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6443 ;; set the limit on the farthest back we need to search
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6444 (setq lim (if containing-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6445 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6446 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6447 ;; set containing-leader if required
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6448 (if (looking-at vhdl-leader-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6449 (setq containing-leader (vhdl-end-of-leader)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6450 (vhdl-point 'bol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6451 (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6452
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6453 ;; cache char before and after indent point, and move point to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6454 ;; the most likely position to perform the majority of tests
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6455 (goto-char indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6456 (skip-chars-forward " \t")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6457 (setq literal (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6458 (setq char-after-ip (following-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6459 (setq begin-after-ip (and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6460 (not literal)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6461 (looking-at vhdl-begin-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6462 (vhdl-begin-p)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6463 (setq end-after-ip (and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6464 (not literal)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6465 (looking-at vhdl-end-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6466 (vhdl-end-p)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6467 (vhdl-backward-syntactic-ws lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6468 (setq char-before-ip (preceding-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6469 (goto-char indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6470 (skip-chars-forward " \t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6471
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6472 ;; now figure out syntactic qualities of the current line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6473 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6474 ;; CASE 1: in a string or comment.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6475 ((memq literal '(string comment))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6476 (vhdl-add-syntax literal (vhdl-point 'bopl)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6477 ;; CASE 2: Line is at top level.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6478 ((null containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6479 ;; Find the point to which indentation will be relative
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6480 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6481 (if (null preceding-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6482 ;; CASE 2X.1
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6483 ;; no preceding-sexp -> use the preceding statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6484 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6485 ;; CASE 2X.2
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6486 ;; if there is a preceding-sexp then indent relative to it
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6487 (goto-char preceding-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6488 ;; if not at boi, then the block-opening keyword is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6489 ;; probably following a label, so we need a different
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6490 ;; relpos
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6491 (if (/= (point) (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6492 ;; CASE 2X.3
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6493 (vhdl-beginning-of-statement-1 lim)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6494 ;; v-b-o-s could have left us at point-min
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6495 (and (bobp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6496 ;; CASE 2X.4
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6497 (vhdl-forward-syntactic-ws indent-point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6498 (setq placeholder (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6499 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6500 ;; CASE 2A : we are looking at a block-open
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6501 (begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6502 (vhdl-add-syntax 'block-open placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6503 ;; CASE 2B: we are looking at a block-close
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6504 (end-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6505 (vhdl-add-syntax 'block-close placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6506 ;; CASE 2C: we are looking at a top-level statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6507 ((progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6508 (vhdl-backward-syntactic-ws lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6509 (or (bobp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6510 (= (preceding-char) ?\;)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6511 (vhdl-add-syntax 'statement placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6512 ;; CASE 2D: we are looking at a top-level statement-cont
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6513 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6514 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6515 ;; v-b-o-s could have left us at point-min
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6516 (and (bobp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6517 ;; CASE 2D.1
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6518 (vhdl-forward-syntactic-ws indent-point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6519 (vhdl-add-syntax 'statement-cont (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6520 )) ; end CASE 2
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6521 ;; CASE 3: line is inside parentheses. Most likely we are
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6522 ;; either in a subprogram argument (interface) list, or a
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6523 ;; continued expression containing parentheses.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6524 ((null containing-begin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6525 (vhdl-backward-syntactic-ws containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6526 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6527 ;; CASE 3A: we are looking at the arglist closing paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6528 ((eq char-after-ip ?\))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6529 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6530 (vhdl-add-syntax 'arglist-close (vhdl-point 'boi)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6531 ;; CASE 3B: we are looking at the first argument in an empty
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6532 ;; argument list.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6533 ((eq char-before-ip ?\()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6534 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6535 (vhdl-add-syntax 'arglist-intro (vhdl-point 'boi)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6536 ;; CASE 3C: we are looking at an arglist continuation line,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6537 ;; but the preceding argument is on the same line as the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6538 ;; opening paren. This case includes multi-line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6539 ;; expression paren groupings.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6540 ((and (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6541 (goto-char (1+ containing-sexp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6542 (skip-chars-forward " \t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6543 (not (eolp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6544 (not (looking-at "--")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6545 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6546 (vhdl-beginning-of-statement-1 containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6547 (skip-chars-backward " \t(")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6548 (<= (point) containing-sexp)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6549 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6550 (vhdl-add-syntax 'arglist-cont-nonempty (vhdl-point 'boi)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6551 ;; CASE 3D: we are looking at just a normal arglist
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6552 ;; continuation line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6553 (t (vhdl-beginning-of-statement-1 containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6554 (vhdl-forward-syntactic-ws indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6555 (vhdl-add-syntax 'arglist-cont (vhdl-point 'boi)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6556 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6557 ;; CASE 4: A block mid open
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6558 ((and begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6559 (looking-at containing-mid))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6560 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6561 ;; If the \"begin\" keyword is a trailer, then find v-b-o-s
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6562 (if (looking-at vhdl-trailer-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6563 ;; CASE 4.1
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6564 (progn (forward-sexp) (vhdl-beginning-of-statement-1 nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6565 (vhdl-backward-skip-label (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6566 (vhdl-add-syntax 'block-open (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6567 ;; CASE 5: block close brace
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6568 (end-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6569 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6570 ;; If the \"begin\" keyword is a trailer, then find v-b-o-s
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6571 (if (looking-at vhdl-trailer-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6572 ;; CASE 5.1
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6573 (progn (forward-sexp) (vhdl-beginning-of-statement-1 nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6574 (vhdl-backward-skip-label (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6575 (vhdl-add-syntax 'block-close (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6576 ;; CASE 6: A continued statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6577 ((and (/= char-before-ip ?\;)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6578 ;; check it's not a trailer begin keyword, or a begin
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6579 ;; keyword immediately following a label.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6580 (not (and begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6581 (or (looking-at vhdl-trailer-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6582 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6583 (vhdl-backward-skip-label containing-sexp)))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6584 ;; check it's not a statement keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6585 (not (and (looking-at vhdl-statement-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6586 (vhdl-statement-p)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6587 ;; see if the b-o-s is before the indent point
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6588 (> indent-point
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6589 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6590 (vhdl-beginning-of-statement-1 containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6591 ;; If we ended up after a leader, then this will
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6592 ;; move us forward to the start of the first
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6593 ;; statement. Note that a containing sexp here is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6594 ;; always a keyword, not a paren, so this will
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6595 ;; have no effect if we hit the containing-sexp.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6596 (vhdl-forward-syntactic-ws indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6597 (setq placeholder (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6598 ;; check it's not a block-intro
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6599 (/= placeholder containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6600 ;; check it's not a case block-intro
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6601 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6602 (goto-char placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6603 (or (not (looking-at vhdl-case-alternative-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6604 (> (match-end 0) indent-point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6605 ;; Make placeholder skip a label, but only if it puts us
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6606 ;; before the indent point at the start of a line.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6607 (let ((new placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6608 (if (and (> indent-point
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6609 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6610 (goto-char placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6611 (vhdl-forward-skip-label indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6612 (setq new (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6613 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6614 (goto-char new)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6615 (eq new (progn (back-to-indentation) (point)))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6616 (setq placeholder new)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6617 (vhdl-add-syntax 'statement-cont placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6618 (if begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6619 (vhdl-add-syntax 'block-open)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6620 ;; Statement. But what kind?
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6621 ;; CASE 7: A case alternative key
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6622 ((and (looking-at vhdl-case-alternative-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6623 (vhdl-case-alternative-p containing-sexp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6624 ;; for a case alternative key, we set relpos to the first
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6625 ;; non-whitespace char on the line containing the "case"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6626 ;; keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6627 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6628 ;; If the \"begin\" keyword is a trailer, then find v-b-o-s
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6629 (if (looking-at vhdl-trailer-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6630 (progn (forward-sexp) (vhdl-beginning-of-statement-1 nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6631 (vhdl-add-syntax 'case-alternative (vhdl-point 'boi)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6632 ;; CASE 8: statement catchall
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6633 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6634 ;; we know its a statement, but we need to find out if it is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6635 ;; the first statement in a block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6636 (if containing-leader
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6637 (goto-char containing-leader)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6638 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6639 ;; Note that a containing sexp here is always a keyword,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6640 ;; not a paren, so skip over the keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6641 (forward-sexp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6642 ;; move to the start of the first statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6643 (vhdl-forward-syntactic-ws indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6644 (setq placeholder (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6645 ;; we want to ignore case alternatives keys when skipping forward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6646 (let (incase-p)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6647 (while (looking-at vhdl-case-alternative-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6648 (setq incase-p (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6649 ;; we also want to skip over the body of the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6650 ;; case/when statement if that doesn't put us at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6651 ;; after the indent-point
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6652 (while (vhdl-skip-case-alternative indent-point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6653 ;; set up the match end
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6654 (looking-at vhdl-case-alternative-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6655 (goto-char (match-end 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6656 ;; move to the start of the first case alternative statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6657 (vhdl-forward-syntactic-ws indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6658 (setq placeholder (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6659 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6660 ;; CASE 8A: we saw a case/when statement so we must be
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6661 ;; in a switch statement. find out if we are at the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6662 ;; statement just after a case alternative key
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6663 ((and incase-p
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6664 (= (point) indent-point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6665 ;; relpos is the "when" keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6666 (vhdl-add-syntax 'statement-case-intro incase-p))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6667 ;; CASE 8B: any old statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6668 ((< (point) indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6669 ;; relpos is the first statement of the block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6670 (vhdl-add-syntax 'statement placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6671 (if begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6672 (vhdl-add-syntax 'block-open)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6673 ;; CASE 8C: first statement in a block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6674 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6675 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6676 ;; If the \"begin\" keyword is a trailer, then find v-b-o-s
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6677 (if (looking-at vhdl-trailer-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6678 (progn (forward-sexp) (vhdl-beginning-of-statement-1 nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6679 (vhdl-backward-skip-label (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6680 (vhdl-add-syntax 'statement-block-intro (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6681 (if begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6682 (vhdl-add-syntax 'block-open)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6683 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6684 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6685
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6686 ;; now we need to look at any modifiers
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6687 (goto-char indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6688 (skip-chars-forward " \t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6689 (if (looking-at "--")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6690 (vhdl-add-syntax 'comment))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6691 ;; return the syntax
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6692 vhdl-syntactic-context))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6693
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6694 ;; Standard indentation line-ups:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6695
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6696 (defun vhdl-lineup-arglist (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6697 "Lineup the current arglist line with the arglist appearing just
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6698 after the containing paren which starts the arglist."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6699 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6700 (let* ((containing-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6701 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6702 ;; arglist-cont-nonempty gives relpos ==
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6703 ;; to boi of containing-sexp paren. This
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6704 ;; is good when offset is +, but bad
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6705 ;; when it is vhdl-lineup-arglist, so we
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6706 ;; have to special case a kludge here.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6707 (if (memq (car langelem) '(arglist-intro arglist-cont-nonempty))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6708 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6709 (beginning-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6710 (backward-up-list 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6711 (skip-chars-forward " \t" (vhdl-point 'eol)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6712 (goto-char (cdr langelem)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6713 (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6714 (cs-curcol (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6715 (goto-char (cdr langelem))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6716 (current-column))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6717 (if (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6718 (beginning-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6719 (looking-at "[ \t]*)"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6720 (progn (goto-char (match-end 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6721 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6722 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6723 (vhdl-forward-syntactic-ws)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6724 (- (current-column) cs-curcol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6725 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6726 (or (eolp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6727 (let ((eol (vhdl-point 'eol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6728 (here (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6729 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6730 (skip-chars-forward " \t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6731 (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6732 (vhdl-forward-syntactic-ws)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6733 (if (< (point) eol)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6734 (goto-char here))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6735 (- (current-column) cs-curcol)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6736 ))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6737
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6738 (defun vhdl-lineup-arglist-intro (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6739 "Lineup an arglist-intro line to just after the open paren."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6740 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6741 (let ((cs-curcol (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6742 (goto-char (cdr langelem))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6743 (current-column)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6744 (ce-curcol (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6745 (beginning-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6746 (backward-up-list 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6747 (skip-chars-forward " \t" (vhdl-point 'eol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6748 (current-column))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6749 (- ce-curcol cs-curcol -1))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6750
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6751 (defun vhdl-lineup-comment (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6752 "Support old behavior for comment indentation. We look at
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6753 vhdl-comment-only-line-offset to decide how to indent comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6754 only-lines."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6755 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6756 (back-to-indentation)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6757 ;; at or to the right of comment-column
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6758 (if (>= (current-column) comment-column)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6759 (vhdl-comment-indent)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6760 ;; otherwise, indent as specified by vhdl-comment-only-line-offset
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6761 (if (not (bolp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6762 (or (car-safe vhdl-comment-only-line-offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6763 vhdl-comment-only-line-offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6764 (or (cdr-safe vhdl-comment-only-line-offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6765 (car-safe vhdl-comment-only-line-offset)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6766 -1000 ;jam it against the left side
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6767 )))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6768
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6769 (defun vhdl-lineup-statement-cont (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6770 "Line up statement-cont after the assignment operator."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6771 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6772 (let* ((relpos (cdr langelem))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6773 (assignp (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6774 (goto-char (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6775 (and (re-search-forward "\\(<\\|:\\)="
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6776 (vhdl-point 'eol) t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6777 (- (point) (vhdl-point 'boi)))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6778 (curcol (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6779 (goto-char relpos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6780 (current-column)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6781 foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6782 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6783 (< (point) (vhdl-point 'eol)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6784 (re-search-forward "\\(<\\|:\\)=\\|(" (vhdl-point 'eol) 'move)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6785 (if (vhdl-in-literal)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6786 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6787 (if (= (preceding-char) ?\()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6788 ;; skip over any parenthesized expressions
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6789 (goto-char (min (vhdl-point 'eol)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6790 (scan-lists (point) 1 1)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6791 ;; found an assignment operator (not at eol)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6792 (setq foundp (not (looking-at "\\s-*$"))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6793 (if (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6794 ;; there's no assignment operator on the line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6795 vhdl-basic-offset
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6796 ;; calculate indentation column after assign and ws, unless
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6797 ;; our line contains an assignment operator
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6798 (if (not assignp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6799 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6800 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6801 (skip-chars-forward " \t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6802 (setq assignp 0)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6803 (- (current-column) assignp curcol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6804 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6805
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6806 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6807 ;; Progress reporting
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6808
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6809 (defvar vhdl-progress-info nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6810 "Array variable for progress information: 0 begin, 1 end, 2 time.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6811
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6812 (defun vhdl-update-progress-info (string pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6813 "Update progress information."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6814 (when (and vhdl-progress-info (not noninteractive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6815 (< vhdl-progress-interval
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6816 (- (nth 1 (current-time)) (aref vhdl-progress-info 2))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6817 (message (concat string "... (%2d%s)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6818 (/ (* 100 (- pos (aref vhdl-progress-info 0)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6819 (- (aref vhdl-progress-info 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6820 (aref vhdl-progress-info 0))) "%")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6821 (aset vhdl-progress-info 2 (nth 1 (current-time)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6822
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6823 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6824 ;; Indentation commands
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6825
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6826 (defun vhdl-electric-tab (&optional prefix-arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6827 "If preceeding character is part of a word or a paren then hippie-expand,
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6828 else if right of non whitespace on line then insert tab,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6829 else if last command was a tab or return then dedent one step or if a comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6830 toggle between normal indent and inline comment indent,
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6831 else indent `correctly'."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6832 (interactive "*P")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6833 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6834 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6835 ;; expand word
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6836 ((= (char-syntax (preceding-char)) ?w)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6837 (let ((case-fold-search (not vhdl-word-completion-case-sensitive))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6838 (case-replace nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6839 (hippie-expand-only-buffers
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6840 (or (and (boundp 'hippie-expand-only-buffers)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6841 hippie-expand-only-buffers)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6842 '(vhdl-mode))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6843 (vhdl-expand-abbrev prefix-arg)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6844 ;; expand parenthesis
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6845 ((or (= (preceding-char) ?\() (= (preceding-char) ?\)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6846 (let ((case-fold-search (not vhdl-word-completion-case-sensitive))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6847 (case-replace nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6848 (vhdl-expand-paren prefix-arg)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6849 ;; insert tab
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6850 ((> (current-column) (current-indentation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6851 (insert-tab))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6852 ;; toggle comment indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6853 ((and (looking-at "--")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6854 (or (eq last-command 'vhdl-electric-tab)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6855 (eq last-command 'vhdl-electric-return)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6856 (cond ((= (current-indentation) 0) ; no indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6857 (indent-to 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6858 (indent-according-to-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6859 ((< (current-indentation) comment-column) ; normal indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6860 (indent-to comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6861 (indent-according-to-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6862 (t ; inline comment indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6863 (kill-line -0))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6864 ;; dedent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6865 ((and (>= (current-indentation) vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6866 (or (eq last-command 'vhdl-electric-tab)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6867 (eq last-command 'vhdl-electric-return)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6868 (backward-delete-char-untabify vhdl-basic-offset nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6869 ;; indent line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6870 (t (indent-according-to-mode)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6871 (setq this-command 'vhdl-electric-tab)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6872
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6873 (defun vhdl-electric-return ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6874 "newline-and-indent or indent-new-comment-line if in comment and preceding
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6875 character is a space."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6876 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6877 (if (and (= (preceding-char) ? ) (vhdl-in-comment-p))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6878 (indent-new-comment-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6879 (when (and (>= (preceding-char) ?a) (<= (preceding-char) ?z))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6880 (vhdl-fix-case-word -1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6881 (newline-and-indent)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6882
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6883 (defun vhdl-indent-line ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6884 "Indent the current line as VHDL code. Returns the amount of
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6885 indentation change."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6886 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6887 (let* ((syntax (and vhdl-indent-syntax-based (vhdl-get-syntactic-context)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6888 (pos (- (point-max) (point)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6889 (indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6890 (if syntax
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6891 ;; indent syntax-based
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6892 (if (and (eq (caar syntax) 'comment)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6893 (>= (vhdl-get-offset (car syntax)) comment-column))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6894 ;; special case: comments at or right of comment-column
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6895 (vhdl-get-offset (car syntax))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6896 (apply '+ (mapcar 'vhdl-get-offset syntax)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6897 ;; indent like previous nonblank line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6898 (save-excursion (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6899 (re-search-backward "^[^\n]" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6900 (current-indentation))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6901 (shift-amt (- indent (current-indentation))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6902 (and vhdl-echo-syntactic-information-p
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6903 (message "syntax: %s, indent= %d" syntax indent))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6904 (unless (zerop shift-amt)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6905 (delete-region (vhdl-point 'bol) (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6906 (beginning-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6907 (indent-to indent))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6908 (if (< (point) (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6909 (back-to-indentation)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6910 ;; If initial point was within line's indentation, position after
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6911 ;; the indentation. Else stay at same point in text.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6912 (when (> (- (point-max) pos) (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6913 (goto-char (- (point-max) pos))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6914 (run-hooks 'vhdl-special-indent-hook)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6915 (vhdl-update-progress-info "Indenting" (vhdl-current-line))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6916 shift-amt))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6917
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6918 (defun vhdl-indent-region (beg end column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6919 "Indent region as VHDL code.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6920 Adds progress reporting to `indent-region'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6921 (interactive "r\nP")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6922 (when vhdl-progress-interval
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6923 (setq vhdl-progress-info (vector (count-lines (point-min) beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6924 (count-lines (point-min) end) 0)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6925 (indent-region beg end column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6926 (when vhdl-progress-interval (message "Indenting...done"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6927 (setq vhdl-progress-info nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6928
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6929 (defun vhdl-indent-buffer ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6930 "Indent whole buffer as VHDL code.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6931 Calls `indent-region' for whole buffer and adds progress reporting."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6932 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6933 (vhdl-indent-region (point-min) (point-max) nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6934
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6935 (defun vhdl-indent-group ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6936 "Indent group of lines between empty lines."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6937 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6938 (let ((beg (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6939 (if (re-search-backward vhdl-align-group-separate nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6940 (point-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6941 (point-min-marker))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6942 (end (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6943 (if (re-search-forward vhdl-align-group-separate nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6944 (point-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6945 (point-max-marker)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6946 (vhdl-indent-region beg end nil)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6947
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6948 (defun vhdl-indent-sexp (&optional endpos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6949 "Indent each line of the list starting just after point.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6950 If optional arg ENDPOS is given, indent each line, stopping when
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6951 ENDPOS is encountered."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6952 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6953 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6954 (let ((beg (point))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6955 (end (progn (vhdl-forward-sexp nil endpos) (point))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6956 (indent-region beg end nil))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6957
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6958 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6959 ;; Miscellaneous commands
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6960
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6961 (defun vhdl-show-syntactic-information ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6962 "Show syntactic information for current line."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6963 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6964 (message "Syntactic analysis: %s" (vhdl-get-syntactic-context))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6965 (vhdl-keep-region-active))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6966
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6967 ;; Verification and regression functions:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6968
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6969 (defun vhdl-regress-line (&optional arg)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6970 "Check syntactic information for current line."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6971 (interactive "P")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6972 (let ((expected (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6973 (end-of-line)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6974 (when (search-backward " -- ((" (vhdl-point 'bol) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6975 (forward-char 4)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6976 (read (current-buffer)))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6977 (actual (vhdl-get-syntactic-context))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6978 (expurgated))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6979 ;; remove the library unit symbols
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6980 (mapcar
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6981 (function
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6982 (lambda (elt)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6983 (if (memq (car elt) '(entity configuration package
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6984 package-body architecture))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6985 nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6986 (setq expurgated (append expurgated (list elt))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6987 actual)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6988 (if (and (not arg) expected (listp expected))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6989 (if (not (equal expected expurgated))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6990 (error "ERROR: Should be: %s, is: %s" expected expurgated))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6991 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6992 (beginning-of-line)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6993 (when (not (looking-at "^\\s-*\\(--.*\\)?$"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6994 (end-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6995 (if (search-backward " -- ((" (vhdl-point 'bol) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6996 (kill-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6997 (insert " -- ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6998 (insert (format "%s" expurgated))))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6999 (vhdl-keep-region-active))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7000
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7001
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7002 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7003 ;;; Alignment, whitespace fixup, beautifying
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7004 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7005
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7006 (defconst vhdl-align-alist
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7007 '(
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7008 ;; after some keywords
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7009 (vhdl-mode "^\\s-*\\(constant\\|quantity\\|signal\\|subtype\\|terminal\\|type\\|variable\\)[ \t]"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7010 "^\\s-*\\(constant\\|quantity\\|signal\\|subtype\\|terminal\\|type\\|variable\\)\\([ \t]+\\)" 2)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7011 ;; before ':'
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7012 (vhdl-mode ":[^=]" "\\([ \t]*\\):[^=]")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7013 ;; after direction specifications
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7014 (vhdl-mode ":[ \t]*\\(in\\|out\\|inout\\|buffer\\|\\)\\>"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7015 ":[ \t]*\\(in\\|out\\|inout\\|buffer\\|\\)\\([ \t]+\\)" 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7016 ;; before "==", ":=", "=>", and "<="
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7017 (vhdl-mode "[<:=]=" "\\([ \t]*\\)[<:=]=" 1) ; since "<= ... =>" can occur
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7018 (vhdl-mode "=>" "\\([ \t]*\\)=>" 1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7019 (vhdl-mode "[<:=]=" "\\([ \t]*\\)[<:=]=" 1) ; since "=> ... <=" can occur
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7020 ;; before some keywords
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7021 (vhdl-mode "[ \t]after\\>" "[^ \t]\\([ \t]+\\)after\\>" 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7022 (vhdl-mode "[ \t]when\\>" "[^ \t]\\([ \t]+\\)when\\>" 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7023 (vhdl-mode "[ \t]else\\>" "[^ \t]\\([ \t]+\\)else\\>" 1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7024 ;; before "=>" since "when/else ... =>" can occur
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7025 (vhdl-mode "=>" "\\([ \t]*\\)=>" 1)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7026 )
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7027 "The format of this alist is (MODES [or MODE] REGEXP ALIGN-PATTERN SUBEXP).
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7028 It is searched in order. If REGEXP is found anywhere in the first
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7029 line of a region to be aligned, ALIGN-PATTERN will be used for that
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7030 region. ALIGN-PATTERN must include the whitespace to be expanded or
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7031 contracted. It may also provide regexps for the text surrounding the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7032 whitespace. SUBEXP specifies which sub-expression of
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7033 ALIGN-PATTERN matches the white space to be expanded/contracted.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7034
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7035 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7036 ;; Align code
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7037
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7038 (defvar vhdl-align-try-all-clauses t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7039 "If REGEXP is not found on the first line of the region that clause
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7040 is ignored. If this variable is non-nil, then the clause is tried anyway.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7041
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7042 (defun vhdl-do-group (function &optional spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7043 "Apply FUNCTION on group of lines between empty lines."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7044 (let
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7045 ;; search for group beginning
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7046 ((beg (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7047 (if (re-search-backward vhdl-align-group-separate nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7048 (progn (beginning-of-line 2) (back-to-indentation) (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7049 (point-min))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7050 ;; search for group end
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7051 (end (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7052 (if (re-search-forward vhdl-align-group-separate nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7053 (progn (beginning-of-line) (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7054 (point-max)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7055 ;; run FUNCTION
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7056 (funcall function beg end spacing)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7057
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7058 (defun vhdl-do-list (function &optional spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7059 "Apply FUNCTION to the lines of a list surrounded by a balanced group of
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7060 parentheses."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7061 (let (beg end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7062 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7063 ;; search for beginning of balanced group of parentheses
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7064 (setq beg (vhdl-re-search-backward "[()]" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7065 (while (looking-at ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7066 (forward-char) (backward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7067 (setq beg (vhdl-re-search-backward "[()]" nil t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7068 ;; search for end of balanced group of parentheses
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7069 (when beg
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7070 (forward-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7071 (setq end (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7072 (goto-char (1+ beg))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7073 (skip-chars-forward " \t\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7074 (setq beg (point))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7075 ;; run FUNCTION
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7076 (if beg
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7077 (funcall function beg end spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7078 (error "ERROR: Not within a list enclosed by a pair of parentheses"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7079
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7080 (defun vhdl-do-same-indent (function &optional spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7081 "Apply FUNCTION to block of lines with same indent."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7082 (let ((indent (current-indentation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7083 beg end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7084 ;; search for first line with same indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7085 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7086 (while (and (not (bobp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7087 (or (looking-at "^\\s-*\\(--.*\\)?$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7088 (= (current-indentation) indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7089 (unless (looking-at "^\\s-*$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7090 (back-to-indentation) (setq beg (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7091 (beginning-of-line -0)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7092 ;; search for last line with same indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7093 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7094 (while (and (not (eobp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7095 (or (looking-at "^\\s-*\\(--.*\\)?$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7096 (= (current-indentation) indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7097 (if (looking-at "^\\s-*$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7098 (beginning-of-line 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7099 (beginning-of-line 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7100 (setq end (point)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7101 ;; run FUNCTION
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7102 (funcall function beg end spacing)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7103
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7104 (defun vhdl-align-region-1 (begin end &optional spacing alignment-list indent)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7105 "Attempt to align a range of lines based on the content of the
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7106 lines. The definition of `alignment-list' determines the matching
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7107 order and the manner in which the lines are aligned. If ALIGNMENT-LIST
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7108 is not specified `vhdl-align-alist' is used. If INDENT is non-nil,
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7109 indentation is done before aligning."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7110 (interactive "r\np")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7111 (setq alignment-list (or alignment-list vhdl-align-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7112 (setq spacing (or spacing 1))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7113 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7114 (let (bol indent)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7115 (goto-char end)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7116 (setq end (point-marker))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7117 (goto-char begin)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7118 (setq bol (setq begin (progn (beginning-of-line) (point))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7119 ; (untabify bol end)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7120 (when indent
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7121 (indent-region bol end nil))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7122 (let ((copy (copy-alist alignment-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7123 (vhdl-prepare-search-2
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7124 (while copy
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7125 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7126 (goto-char begin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7127 (let (element
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7128 (eol (save-excursion (progn (end-of-line) (point)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7129 (setq element (nth 0 copy))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7130 (when (and (or (and (listp (car element))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7131 (memq major-mode (car element)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7132 (eq major-mode (car element)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7133 (or vhdl-align-try-all-clauses
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7134 (re-search-forward (car (cdr element)) eol t)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7135 (vhdl-align-region-2 begin end (car (cdr (cdr element)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7136 (car (cdr (cdr (cdr element)))) spacing))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7137 (setq copy (cdr copy))))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7138
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7139 (defun vhdl-align-region-2 (begin end match &optional substr spacing)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7140 "Align a range of lines from BEGIN to END. The regular expression
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7141 MATCH must match exactly one fields: the whitespace to be
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7142 contracted/expanded. The alignment column will equal the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7143 rightmost column of the widest whitespace block. SPACING is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7144 the amount of extra spaces to add to the calculated maximum required.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7145 SPACING defaults to 1 so that at least one space is inserted after
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7146 the token in MATCH."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7147 (setq spacing (or spacing 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7148 (setq substr (or substr 1))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7149 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7150 (let (distance (max 0) (lines 0) bol eol width)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7151 ;; Determine the greatest whitespace distance to the alignment
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7152 ;; character
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7153 (goto-char begin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7154 (setq eol (progn (end-of-line) (point))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7155 bol (setq begin (progn (beginning-of-line) (point))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7156 (while (< bol end)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7157 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7158 (when (and (re-search-forward match eol t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7159 (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7160 (setq distance (- (match-beginning substr) bol))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7161 (when (> distance max)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7162 (setq max distance))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7163 (forward-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7164 (setq bol (point)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7165 eol (save-excursion (end-of-line) (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7166 (setq lines (1+ lines)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7167 ;; Now insert enough maxs to push each assignment operator to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7168 ;; the same column. We need to use 'lines' as a counter, since
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7169 ;; the location of the mark may change
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7170 (goto-char (setq bol begin))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7171 (setq eol (save-excursion (end-of-line) (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7172 (while (> lines 0)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7173 (when (and (re-search-forward match eol t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7174 (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7175 (setq width (- (match-end substr) (match-beginning substr)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7176 (setq distance (- (match-beginning substr) bol))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7177 (goto-char (match-beginning substr))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7178 (delete-char width)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7179 (insert-char ? (+ (- max distance) spacing)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7180 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7181 (forward-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7182 (setq bol (point)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7183 eol (save-excursion (end-of-line) (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7184 (setq lines (1- lines))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7185
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7186 (defun vhdl-align-region-groups (beg end &optional spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7187 no-message no-comments)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7188 "Align region, treat groups of lines separately."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7189 (interactive "r\nP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7190 (save-excursion
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7191 (let (orig pos)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7192 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7193 (beginning-of-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7194 (setq orig (point-marker))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7195 (setq beg (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7196 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7197 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7198 (untabify beg end)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7199 (unless no-message
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7200 (when vhdl-progress-interval
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7201 (setq vhdl-progress-info (vector (count-lines (point-min) beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7202 (count-lines (point-min) end) 0))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7203 (vhdl-fixup-whitespace-region beg end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7204 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7205 (if (not vhdl-align-groups)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7206 ;; align entire region
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7207 (progn (vhdl-align-region-1 beg end spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7208 (unless no-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7209 (vhdl-align-inline-comment-region-1 beg end)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7210 ;; align groups
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7211 (while (and (< beg end)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7212 (re-search-forward vhdl-align-group-separate end t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7213 (setq pos (point-marker))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7214 (vhdl-align-region-1 beg pos spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7215 (unless no-comments (vhdl-align-inline-comment-region-1 beg pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7216 (vhdl-update-progress-info "Aligning" (vhdl-current-line))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7217 (setq beg (1+ pos))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7218 (goto-char beg))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7219 ;; align last group
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7220 (when (< beg end)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7221 (vhdl-align-region-1 beg end spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7222 (unless no-comments (vhdl-align-inline-comment-region-1 beg end))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7223 (vhdl-update-progress-info "Aligning" (vhdl-current-line))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7224 (when vhdl-indent-tabs-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7225 (tabify orig end))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7226 (unless no-message
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7227 (when vhdl-progress-interval (message "Aligning...done"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7228 (setq vhdl-progress-info nil)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7229
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7230 (defun vhdl-align-region (beg end &optional spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7231 "Align region, treat blocks with same indent and argument lists separately."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7232 (interactive "r\nP")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7233 (if (not vhdl-align-same-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7234 ;; align entire region
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7235 (vhdl-align-region-groups beg end spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7236 ;; align blocks with same indent and argument lists
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7237 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7238 (let ((cur-beg beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7239 indent cur-end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7240 (when vhdl-progress-interval
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7241 (setq vhdl-progress-info (vector (count-lines (point-min) beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7242 (count-lines (point-min) end) 0)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7243 (goto-char end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7244 (setq end (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7245 (goto-char cur-beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7246 (while (< (point) end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7247 ;; is argument list opening?
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7248 (if (setq cur-beg (nth 1 (save-excursion (parse-partial-sexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7249 (point) (vhdl-point 'eol)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7250 ;; determine region for argument list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7251 (progn (goto-char cur-beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7252 (forward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7253 (setq cur-end (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7254 (beginning-of-line 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7255 ;; determine region with same indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7256 (setq indent (current-indentation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7257 (setq cur-beg (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7258 (setq cur-end (vhdl-point 'bonl))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7259 (beginning-of-line 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7260 (while (and (< (point) end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7261 (or (looking-at "^\\s-*\\(--.*\\)?$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7262 (= (current-indentation) indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7263 (<= (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7264 (nth 0 (parse-partial-sexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7265 (point) (vhdl-point 'eol)))) 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7266 (unless (looking-at "^\\s-*$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7267 (setq cur-end (vhdl-point 'bonl)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7268 (beginning-of-line 2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7269 ;; align region
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7270 (vhdl-align-region-groups cur-beg cur-end spacing t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7271 (vhdl-align-inline-comment-region beg end spacing noninteractive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7272 (when vhdl-progress-interval (message "Aligning...done"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7273 (setq vhdl-progress-info nil)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7274
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7275 (defun vhdl-align-group (&optional spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7276 "Align group of lines between empty lines."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7277 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7278 (vhdl-do-group 'vhdl-align-region spacing))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7279
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7280 (defun vhdl-align-list (&optional spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7281 "Align the lines of a list surrounded by a balanced group of parentheses."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7282 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7283 (vhdl-do-list 'vhdl-align-region-groups spacing))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7284
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7285 (defun vhdl-align-same-indent (&optional spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7286 "Align block of lines with same indent."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7287 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7288 (vhdl-do-same-indent 'vhdl-align-region-groups spacing))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7289
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7290 (defun vhdl-align-declarations (&optional spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7291 "Align the lines within the declarative part of a design unit."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7292 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7293 (let (beg end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7294 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7295 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7296 ;; search for declarative part
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7297 (when (and (re-search-backward "^\\(architecture\\|begin\\|configuration\\|end\\|entity\\|package\\)\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7298 (not (member (upcase (match-string 1)) '("BEGIN" "END"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7299 (setq beg (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7300 (re-search-forward "^\\(begin\\|end\\)\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7301 (setq end (point)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7302 (if beg
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7303 (vhdl-align-region-groups beg end spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7304 (error "ERROR: Not within the declarative part of a design unit"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7305
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7306 (defun vhdl-align-buffer ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7307 "Align buffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7308 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7309 (vhdl-align-region (point-min) (point-max)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7310
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7311 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7312 ;; Align inline comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7313
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7314 (defun vhdl-align-inline-comment-region-1 (beg end &optional spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7315 "Align inline comments in region."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7316 (save-excursion
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7317 (let ((start-max comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7318 (length-max 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7319 comment-list start-list tmp-list start length
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7320 cur-start prev-start no-code)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7321 (setq spacing (or spacing 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7322 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7323 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7324 ;; search for comment start positions and lengths
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7325 (while (< (point) end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7326 (when (and (not (looking-at "^\\s-*\\(begin\\|end\\)\\>"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7327 (looking-at "^\\(.*[^ \t\n-]+\\)\\s-*\\(--.*\\)$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7328 (not (save-excursion (goto-char (match-beginning 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7329 (vhdl-in-literal))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7330 (setq start (+ (- (match-end 1) (match-beginning 1)) spacing))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7331 (setq length (- (match-end 2) (match-beginning 2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7332 (setq start-max (max start start-max))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7333 (setq length-max (max length length-max))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7334 (setq comment-list (cons (cons start length) comment-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7335 (beginning-of-line 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7336 (setq comment-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7337 (sort comment-list (function (lambda (a b) (> (car a) (car b))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7338 ;; reduce start positions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7339 (setq start-list (list (caar comment-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7340 (setq comment-list (cdr comment-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7341 (while comment-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7342 (unless (or (= (caar comment-list) (car start-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7343 (<= (+ (car start-list) (cdar comment-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7344 end-comment-column))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7345 (setq start-list (cons (caar comment-list) start-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7346 (setq comment-list (cdr comment-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7347 ;; align lines as nicely as possible
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7348 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7349 (while (< (point) end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7350 (setq cur-start nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7351 (when (and (not (looking-at "^\\s-*\\(begin\\|end\\)\\>"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7352 (or (and (looking-at "^\\(.*[^ \t\n-]+\\)\\(\\s-*\\)\\(--.*\\)$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7353 (not (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7354 (goto-char (match-beginning 3))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7355 (vhdl-in-literal))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7356 (and (looking-at "^\\(\\)\\(\\s-*\\)\\(--.*\\)$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7357 (>= (- (match-end 2) (match-beginning 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7358 comment-column))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7359 (setq start (+ (- (match-end 1) (match-beginning 1)) spacing))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7360 (setq length (- (match-end 3) (match-beginning 3)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7361 (setq no-code (= (match-beginning 1) (match-end 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7362 ;; insert minimum whitespace
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7363 (goto-char (match-end 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7364 (delete-region (match-beginning 2) (match-end 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7365 (insert-char ?\ spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7366 (setq tmp-list start-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7367 ;; insert additional whitespace to align
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7368 (setq cur-start
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7369 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7370 ;; align comment-only line to inline comment of previous line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7371 ((and no-code prev-start
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7372 (<= length (- end-comment-column prev-start)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7373 prev-start)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7374 ;; align all comments at `start-max' if this is possible
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7375 ((<= (+ start-max length-max) end-comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7376 start-max)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7377 ;; align at `comment-column' if possible
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7378 ((and (<= start comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7379 (<= length (- end-comment-column comment-column)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7380 comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7381 ;; align at left-most possible start position otherwise
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7382 (t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7383 (while (and tmp-list (< (car tmp-list) start))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7384 (setq tmp-list (cdr tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7385 (car tmp-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7386 (indent-to cur-start))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7387 (setq prev-start cur-start)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7388 (beginning-of-line 2))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7389
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7390 (defun vhdl-align-inline-comment-region (beg end &optional spacing no-message)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7391 "Align inline comments within a region. Groups of code lines separated by
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7392 empty lines are aligned individually, if `vhdl-align-groups' is non-nil."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7393 (interactive "r\nP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7394 (save-excursion
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7395 (let (orig pos)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7396 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7397 (beginning-of-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7398 (setq orig (point-marker))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7399 (setq beg (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7400 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7401 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7402 (untabify beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7403 (unless no-message (message "Aligning inline comments..."))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7404 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7405 (if (not vhdl-align-groups)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7406 ;; align entire region
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7407 (vhdl-align-inline-comment-region-1 beg end spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7408 ;; align groups
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7409 (while (and (< beg end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7410 (re-search-forward vhdl-align-group-separate end t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7411 (setq pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7412 (vhdl-align-inline-comment-region-1 beg pos spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7413 (setq beg (1+ pos))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7414 (goto-char beg))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7415 ;; align last group
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7416 (when (< beg end)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7417 (vhdl-align-inline-comment-region-1 beg end spacing)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7418 (when vhdl-indent-tabs-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7419 (tabify orig end))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7420 (unless no-message (message "Aligning inline comments...done")))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7421
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7422 (defun vhdl-align-inline-comment-group (&optional spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7423 "Align inline comments within a group of lines between empty lines."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7424 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7425 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7426 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7427 beg end)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7428 (setq end (if (re-search-forward vhdl-align-group-separate nil t)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7429 (point-marker) (point-max)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7430 (goto-char start)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7431 (setq beg (if (re-search-backward vhdl-align-group-separate nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7432 (point) (point-min)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7433 (untabify beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7434 (message "Aligning inline comments...")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7435 (vhdl-align-inline-comment-region-1 beg end)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7436 (when vhdl-indent-tabs-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7437 (tabify beg end))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7438 (message "Aligning inline comments...done"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7439
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7440 (defun vhdl-align-inline-comment-buffer ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7441 "Align inline comments within buffer. Groups of code lines separated by
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7442 empty lines are aligned individually, if `vhdl-align-groups' is non-nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7443 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7444 (vhdl-align-inline-comment-region (point-min) (point-max)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7445
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7446 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7447 ;; Fixup whitespace
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7448
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7449 (defun vhdl-fixup-whitespace-region (beg end &optional no-message)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7450 "Fixup whitespace in region. Surround operator symbols by one space,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7451 eliminate multiple spaces (except at beginning of line), eliminate spaces at
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7452 end of line, do nothing in comments and strings."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7453 (interactive "r")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7454 (unless no-message (message "Fixing up whitespace..."))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7455 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7456 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7457 (setq end (point-marker))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7458 ;; have no space before and one space after `,' and ';'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7459 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7460 (while (re-search-forward "\\(--.*\n\\|\"[^\"\n]*[\"\n]\\)\\|\\(\\s-*\\([,;]\\)\\)" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7461 (if (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7462 (goto-char (match-end 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7463 (replace-match "\\3 " nil nil nil 3)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7464 ;; have no space after `('
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7465 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7466 (while (re-search-forward "\\(--.*\n\\|\"[^\"\n]*[\"\n]\\)\\|\\((\\)\\s-+" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7467 (if (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7468 (goto-char (match-end 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7469 (replace-match "\\2")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7470 ;; have no space before `)'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7471 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7472 (while (re-search-forward "\\(--.*\n\\|\"[^\"\n]*[\"\n]\\|^\\s-+\\)\\|\\s-+\\()\\)" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7473 (if (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7474 (goto-char (match-end 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7475 (replace-match "\\2")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7476 ;; surround operator symbols by one space
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7477 (goto-char beg)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7478 (while (re-search-forward "\\(--.*\n\\|\"[^\"\n]*[\"\n]\\)\\|\\(\\([^/:<>=]\\)\\(:\\|=\\|<\\|>\\|:=\\|<=\\|>=\\|=>\\|/=\\)\\([^=>]\\|$\\)\\)" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7479 (if (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7480 (goto-char (match-end 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7481 (replace-match "\\3 \\4 \\5")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7482 (goto-char (match-end 4))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7483 ;; eliminate multiple spaces and spaces at end of line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7484 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7485 (while (or (and (looking-at "--.*\n") (re-search-forward "--.*\n" end t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7486 (and (looking-at "\"") (re-search-forward "\"[^\"\n]*[\"\n]" end t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7487 (and (looking-at "\\s-+$") (re-search-forward "\\s-+$" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7488 (progn (replace-match "" nil nil) t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7489 (and (looking-at "\\s-+;") (re-search-forward "\\s-+;" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7490 (progn (replace-match ";" nil nil) t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7491 (and (looking-at "^\\s-+") (re-search-forward "^\\s-+" end t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7492 (and (looking-at "\\s-+--") (re-search-forward "\\s-+" end t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7493 (progn (replace-match " " nil nil) t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7494 (and (looking-at "\\s-+") (re-search-forward "\\s-+" end t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7495 (progn (replace-match " " nil nil) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7496 (re-search-forward "[^ \t-]+" end t))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7497 (unless no-message (message "Fixing up whitespace...done")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7498
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7499 (defun vhdl-fixup-whitespace-buffer ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7500 "Fixup whitespace in buffer. Surround operator symbols by one space,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7501 eliminate multiple spaces (except at beginning of line), eliminate spaces at
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7502 end of line, do nothing in comments."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7503 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7504 (vhdl-fixup-whitespace-region (point-min) (point-max)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7505
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7506 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7507 ;; Beautify
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7508
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7509 (defun vhdl-beautify-region (beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7510 "Beautify region by applying indentation, whitespace fixup, alignment, and
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7511 case fixing to a region. Calls functions `vhdl-indent-buffer',
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7512 `vhdl-align-buffer' (option `vhdl-align-groups' set to non-nil), and
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7513 `vhdl-fix-case-buffer'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7514 (interactive "r")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7515 (setq end (save-excursion (goto-char end) (point-marker)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7516 (vhdl-indent-region beg end nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7517 (let ((vhdl-align-groups t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7518 (vhdl-align-region beg end))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7519 (vhdl-fix-case-region beg end))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7520
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7521 (defun vhdl-beautify-buffer ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7522 "Beautify buffer by applying indentation, whitespace fixup, alignment, and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7523 case fixing to entire buffer. Calls `vhdl-beautify-region' for the entire
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7524 buffer."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7525 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7526 (vhdl-beautify-region (point-min) (point-max))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7527 (when noninteractive (save-buffer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7528
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7529 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7530 ;; Code filling
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7531
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7532 (defun vhdl-fill-region (beg end &optional arg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7533 "Fill lines for a region of code."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7534 (interactive "r")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7535 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7536 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7537 (let ((margin (if (interactive-p) (current-indentation) (current-column))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7538 (goto-char end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7539 (setq end (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7540 ;; remove inline comments, newlines and whitespace
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7541 (vhdl-comment-kill-region beg end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7542 (vhdl-comment-kill-inline-region beg end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7543 (subst-char-in-region beg (1- end) ?\n ?\ )
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7544 (vhdl-fixup-whitespace-region beg end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7545 ;; wrap and end-comment-column
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7546 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7547 (while (re-search-forward "\\s-" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7548 (when(> (current-column) vhdl-end-comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7549 (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7550 (when (re-search-backward "\\s-" beg t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7551 (replace-match "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7552 (indent-to margin)))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7553
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7554 (defun vhdl-fill-group ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7555 "Fill group of lines between empty lines."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7556 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7557 (vhdl-do-group 'vhdl-fill-region))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7558
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7559 (defun vhdl-fill-list ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7560 "Fill the lines of a list surrounded by a balanced group of parentheses."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7561 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7562 (vhdl-do-list 'vhdl-fill-region))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7563
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7564 (defun vhdl-fill-same-indent ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7565 "Fill the lines of block of lines with same indent."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7566 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7567 (vhdl-do-same-indent 'vhdl-fill-region))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7568
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7569
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7570 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7571 ;;; Code updating/fixing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7572 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7573
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7574 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7575 ;; Sensitivity list update
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7576
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7577 ;; Strategy:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7578 ;; - no sensitivity list is generated for processes with wait statements
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7579 ;; - otherwise, do the following:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7580 ;; 1. scan for all local signals (ports, signals declared in arch./blocks)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7581 ;; 2. scan for all signals already in the sensitivity list (in order to catch
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7582 ;; manually entered global signals)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7583 ;; 3. signals from 1. and 2. form the list of visible signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7584 ;; 4. search for if/elsif conditions containing an event (sequential code)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7585 ;; 5. scan for strings that are within syntactical regions where signals are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7586 ;; read but not within sequential code, and that correspond to visible
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7587 ;; signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7588 ;; 6. replace sensitivity list by list of signals from 5.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7589
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7590 (defun vhdl-update-sensitivity-list-process ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7591 "Update sensitivity list of current process."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7592 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7593 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7594 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7595 (end-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7596 ;; look whether in process
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7597 (if (not (and (re-search-backward "^\\s-*\\(\\w+[ \t\n]*:[ \t\n]*\\)?\\(process\\|end\\s-+process\\)\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7598 (equal (upcase (match-string 2)) "PROCESS")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7599 (save-excursion (re-search-forward "^\\s-*end\\s-+process\\>" nil t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7600 (error "ERROR: Not within a process")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7601 (message "Updating sensitivity list...")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7602 (vhdl-update-sensitivity-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7603 (message "Updating sensitivity list...done")))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7604
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7605 (defun vhdl-update-sensitivity-list-buffer ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7606 "Update sensitivity list of all processes in current buffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7607 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7608 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7609 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7610 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7611 (message "Updating sensitivity lists...")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7612 (while (re-search-forward "^\\s-*\\(\\w+[ \t\n]*:[ \t\n]*\\)?process\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7613 (goto-char (match-beginning 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7614 (condition-case nil (vhdl-update-sensitivity-list) (error)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7615 (message "Updating sensitivity lists...done"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7616
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7617 (defun vhdl-update-sensitivity-list ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7618 "Update sensitivity list."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7619 (let ((proc-beg (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7620 (proc-end (re-search-forward "^\\s-*end\\s-+process\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7621 (proc-mid (re-search-backward "^\\s-*begin\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7622 seq-region-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7623 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7624 ;; search for wait statement (no sensitivity list allowed)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7625 ((progn (goto-char proc-mid)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7626 (vhdl-re-search-forward "\\<wait\\>" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7627 (error "ERROR: Process with wait statement, sensitivity list not generated"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7628 ;; combinational process (update sensitivity list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7629 (t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7630 (let
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7631 ;; scan for visible signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7632 ((visible-list (vhdl-get-visible-signals))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7633 ;; define syntactic regions where signals are read
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7634 (scan-regions-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7635 '(;; right-hand side of signal/variable assignment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7636 ;; (special case: "<=" is relational operator in a condition)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7637 ((re-search-forward "[<:]=" proc-end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7638 (re-search-forward ";\\|\\<\\(then\\|loop\\|report\\|severity\\|is\\)\\>" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7639 ;; if condition
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7640 ((re-search-forward "^\\s-*if\\>" proc-end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7641 (re-search-forward "\\<then\\>" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7642 ;; elsif condition
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7643 ((re-search-forward "\\<elsif\\>" proc-end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7644 (re-search-forward "\\<then\\>" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7645 ;; while loop condition
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7646 ((re-search-forward "^\\s-*while\\>" proc-end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7647 (re-search-forward "\\<loop\\>" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7648 ;; exit/next condition
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7649 ((re-search-forward "\\<\\(exit\\|next\\)\\s-+\\w+\\s-+when\\>" proc-end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7650 (re-search-forward ";" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7651 ;; assert condition
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7652 ((re-search-forward "\\<assert\\>" proc-end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7653 (re-search-forward "\\(\\<report\\>\\|\\<severity\\>\\|;\\)" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7654 ;; case expression
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7655 ((re-search-forward "^\\s-*case\\>" proc-end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7656 (re-search-forward "\\<is\\>" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7657 ;; parameter list of procedure call
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7658 ((re-search-forward "^\\s-*\\w+[ \t\n]*(" proc-end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7659 (progn (backward-char) (forward-sexp) (point)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7660 name read-list sens-list signal-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7661 sens-beg sens-end beg end margin)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7662 ;; scan for signals in old sensitivity list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7663 (goto-char proc-beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7664 (re-search-forward "\\<process\\>" proc-mid t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7665 (if (not (looking-at "[ \t\n]*("))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7666 (setq sens-beg (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7667 (setq sens-beg (re-search-forward "\\([ \t\n]*\\)([ \t\n]*" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7668 (goto-char (match-end 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7669 (forward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7670 (setq sens-end (1- (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7671 (goto-char sens-beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7672 (while (and (re-search-forward "\\(\\w+\\)" sens-end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7673 (setq sens-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7674 (cons (downcase (match-string 0)) sens-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7675 (re-search-forward "\\s-*,\\s-*" sens-end t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7676 (setq signal-list (append visible-list sens-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7677 ;; search for sequential parts
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7678 (goto-char proc-mid)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7679 (while (setq beg (re-search-forward "^\\s-*\\(els\\)?if\\>" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7680 (setq end (re-search-forward "\\<then\\>" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7681 (when (re-search-backward "\\('event\\|\\<\\(falling\\|rising\\)_edge\\)\\>" beg t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7682 (goto-char end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7683 (backward-word 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7684 (vhdl-forward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7685 (setq seq-region-list (cons (cons end (point)) seq-region-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7686 (beginning-of-line)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7687 ;; scan for signals read in process
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7688 (while scan-regions-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7689 (goto-char proc-mid)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7690 (while (and (setq beg (eval (nth 0 (car scan-regions-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7691 (setq end (eval (nth 1 (car scan-regions-list)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7692 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7693 (unless (or (vhdl-in-literal)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7694 (and seq-region-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7695 (let ((tmp-list seq-region-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7696 (while (and tmp-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7697 (< (point) (caar tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7698 (setq tmp-list (cdr tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7699 (and tmp-list (< (point) (cdar tmp-list))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7700 (while (vhdl-re-search-forward "[^'\"]\\<\\([a-zA-Z]\\w*\\)\\>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7701 (setq name (match-string 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7702 (when (member (downcase name) signal-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7703 (add-to-list 'read-list name)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7704 (setq scan-regions-list (cdr scan-regions-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7705 ;; update sensitivity list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7706 (goto-char sens-beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7707 (if sens-end
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7708 (delete-region sens-beg sens-end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7709 (when read-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7710 (insert " ()") (backward-char)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7711 (setq read-list (sort read-list 'string<))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7712 (when read-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7713 (setq margin (current-column))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7714 (insert (car read-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7715 (setq read-list (cdr read-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7716 (while read-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7717 (insert ",")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7718 (if (<= (+ (current-column) (length (car read-list)) 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7719 end-comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7720 (insert " ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7721 (insert "\n") (indent-to margin))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7722 (insert (car read-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7723 (setq read-list (cdr read-list)))))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7724
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7725 (defun vhdl-get-visible-signals ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7726 "Get all signals visible in the current block."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7727 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7728 (let (beg end signal-list entity-name file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7729 ;; search for signals declared in surrounding block declarative parts
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7730 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7731 (while (and (progn (while (and (setq beg (re-search-backward "^\\s-*\\(\\w+\\s-*:\\s-*block\\|\\(end\\)\\s-+block\\)\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7732 (match-string 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7733 (goto-char (match-end 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7734 (vhdl-backward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7735 (re-search-backward "^\\s-*\\w+\\s-*:\\s-*block\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7736 beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7737 (setq end (re-search-forward "^\\s-*begin\\>" nil t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7738 ;; scan for all declared signal names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7739 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7740 (while (re-search-forward "^\\s-*signal\\>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7741 (while (and (not (looking-at "[ \t\n]*:"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7742 (re-search-forward "[ \t\n,]+\\(\\w+\\)" end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7743 (setq signal-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7744 (cons (downcase (match-string 1)) signal-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7745 (goto-char beg)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7746 ;; search for signals declared in architecture declarative part
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7747 (if (not (and (setq beg (re-search-backward "^\\(architecture\\s-+\\w+\\s-+of\\s-+\\(\\w+\\)\\|end\\)\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7748 (not (equal "END" (upcase (match-string 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7749 (setq entity-name (match-string 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7750 (setq end (re-search-forward "^begin\\>" nil t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7751 (error "ERROR: No architecture declarative part found")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7752 ;; scan for all declared signal names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7753 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7754 (while (re-search-forward "^\\s-*signal\\>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7755 (while (and (not (looking-at "[ \t\n]*:"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7756 (re-search-forward "[ \t\n,]+\\(\\w+\\)" end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7757 (setq signal-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7758 (cons (downcase (match-string 1)) signal-list)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7759 ;; search for signals declared in entity port clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7760 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7761 (unless (re-search-forward (concat "^entity\\s-+" entity-name "\\>") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7762 (setq file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7763 (concat (vhdl-replace-string vhdl-entity-file-name entity-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7764 "." (file-name-extension (buffer-file-name)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7765 (vhdl-visit-file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7766 file-name t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7767 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7768 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7769 (if (not (re-search-forward (concat "^entity\\s-+" entity-name "\\>") nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7770 (error "ERROR: Entity \"%s\" not found:\n --> see option `vhdl-entity-file-name'" entity-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7771 (when (setq beg (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7772 "^\\s-*port[ \t\n]*("
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7773 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7774 (re-search-forward "^end\\>" nil t)) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7775 (setq end (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7776 (backward-char) (forward-sexp) (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7777 (vhdl-forward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7778 (while (< (point) end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7779 (while (and (not (looking-at "[ \t\n]*:"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7780 (re-search-forward "[ \t\n,]*\\(\\w+\\)" end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7781 (setq signal-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7782 (cons (downcase (match-string 1)) signal-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7783 (re-search-forward ";" end 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7784 (vhdl-forward-syntactic-ws))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7785 signal-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7786
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7787 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7788 ;; Generic/port clause fixing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7789
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7790 (defun vhdl-fix-clause ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7791 "Fix closing parenthesis within generic/port clause."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7792 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7793 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7794 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7795 (let ((pos (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7796 beg end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7797 (if (not (re-search-backward "^\\s-*\\(generic\\|port\\)[ \t\n]*(" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7798 (error "ERROR: Not within a generic/port clause")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7799 ;; search for end of clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7800 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7801 (setq beg (1- (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7802 (vhdl-forward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7803 (while (looking-at "\\w+\\([ \t\n]*,[ \t\n]*\\w+\\)*[ \t\n]*:[ \t\n]*\\w+[^;]*;")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7804 (goto-char (1- (match-end 0)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7805 (setq end (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7806 (forward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7807 (vhdl-forward-syntactic-ws))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7808 (goto-char end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7809 (when (> pos (save-excursion (end-of-line) (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7810 (error "ERROR: Not within a generic/port clause"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7811 ;; delete closing parenthesis on separate line (not supported style)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7812 (when (save-excursion (beginning-of-line) (looking-at "^\\s-*);"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7813 (vhdl-line-kill)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7814 (vhdl-backward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7815 (setq end (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7816 (insert ";"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7817 ;; delete superfluous parentheses
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7818 (while (progn (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7819 (condition-case () (forward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7820 (error (goto-char (point-max))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7821 (< (point) end))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7822 (delete-backward-char 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7823 ;; add closing parenthesis
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7824 (when (> (point) end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7825 (goto-char end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7826 (insert ")")))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7827
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7828 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7829 ;; Miscellaneous
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7830
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7831 (defun vhdl-remove-trailing-spaces ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7832 "Remove trailing spaces in the whole buffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7833 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7834 (save-match-data
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7835 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7836 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7837 (while (re-search-forward "[ \t]+$" (point-max) t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7838 (unless (vhdl-in-literal)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7839 (replace-match "" nil nil))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7840
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7841
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7842 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7843 ;;; Electrification
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7844 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7845
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7846 (defconst vhdl-template-prompt-syntax "[^ =<>][^<>@.\n]*[^ =<>]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7847 "Syntax of prompt inserted by template generators.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7848
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7849 (defvar vhdl-template-invoked-by-hook nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7850 "Indicates whether a template has been invoked by a hook or by key or menu.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7851 Used for undoing after template abortion.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7852
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7853 ;; correct different behavior of function `unread-command-events' in XEmacs
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7854 (defun vhdl-character-to-event (arg))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7855 (defalias 'vhdl-character-to-event
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7856 (if vhdl-xemacs 'character-to-event 'identity))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7857
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7858 (defun vhdl-work-library ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7859 "Return the working library name of the current project or \"work\" if no
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7860 project is defined."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7861 (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7862 (or (nth 6 (aget vhdl-project-alist vhdl-project)) vhdl-default-library)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7863
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7864 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7865 ;; Enabling/disabling
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7866
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7867 (defun vhdl-mode-line-update ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7868 "Update the modeline string for VHDL major mode."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7869 (setq mode-name (concat "VHDL"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7870 (and (or vhdl-electric-mode vhdl-stutter-mode) "/")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7871 (and vhdl-electric-mode "e")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7872 (and vhdl-stutter-mode "s")))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7873 (force-mode-line-update t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7874
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7875 (defun vhdl-electric-mode (arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7876 "Toggle VHDL electric mode.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7877 Turn on if ARG positive, turn off if ARG negative, toggle if ARG zero or nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7878 (interactive "P")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7879 (setq vhdl-electric-mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7880 (cond ((or (not arg) (zerop arg)) (not vhdl-electric-mode))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7881 ((> arg 0) t) (t nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7882 (vhdl-mode-line-update))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7883
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7884 (defun vhdl-stutter-mode (arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7885 "Toggle VHDL stuttering mode.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7886 Turn on if ARG positive, turn off if ARG negative, toggle if ARG zero or nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7887 (interactive "P")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7888 (setq vhdl-stutter-mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7889 (cond ((or (not arg) (zerop arg)) (not vhdl-stutter-mode))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7890 ((> arg 0) t) (t nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7891 (vhdl-mode-line-update))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7892
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7893 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7894 ;; Stuttering
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7895
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7896 (defun vhdl-electric-dash (count)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7897 "-- starts a comment, --- draws a horizontal line,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7898 ---- starts a display comment"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7899 (interactive "p")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7900 (if (and vhdl-stutter-mode (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7901 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7902 ((and abbrev-start-location (= abbrev-start-location (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7903 (setq abbrev-start-location nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7904 (goto-char last-abbrev-location)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7905 (beginning-of-line nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7906 (vhdl-comment-display))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7907 ((/= (preceding-char) ?-) ; standard dash (minus)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7908 (self-insert-command count))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7909 (t (self-insert-command count)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7910 (message "Enter '-' for horiz. line, 'CR' for commenting-out code, else enter comment")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7911 (let ((next-input (read-char)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7912 (if (= next-input ?-) ; triple dash
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7913 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7914 (vhdl-comment-display-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7915 (message
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7916 "Enter '-' for display comment, else continue coding")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7917 (let ((next-input (read-char)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7918 (if (= next-input ?-) ; four dashes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7919 (vhdl-comment-display t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7920 (setq unread-command-events ; pushback the char
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7921 (list (vhdl-character-to-event next-input))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7922 (setq unread-command-events ; pushback the char
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7923 (list (vhdl-character-to-event next-input)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7924 (vhdl-comment-insert)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7925 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7926
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7927 (defun vhdl-electric-open-bracket (count) "'[' --> '(', '([' --> '['"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7928 (interactive "p")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7929 (if (and vhdl-stutter-mode (= count 1) (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7930 (if (= (preceding-char) ?\()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7931 (progn (delete-char -1) (insert-char ?\[ 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7932 (insert-char ?\( 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7933 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7934
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7935 (defun vhdl-electric-close-bracket (count) "']' --> ')', ')]' --> ']'"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7936 (interactive "p")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7937 (if (and vhdl-stutter-mode (= count 1) (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7938 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7939 (if (= (preceding-char) ?\))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7940 (progn (delete-char -1) (insert-char ?\] 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7941 (insert-char ?\) 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7942 (blink-matching-open))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7943 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7944
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7945 (defun vhdl-electric-quote (count) "'' --> \""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7946 (interactive "p")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7947 (if (and vhdl-stutter-mode (= count 1) (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7948 (if (= (preceding-char) last-input-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7949 (progn (delete-backward-char 1) (insert-char ?\" 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7950 (insert-char ?\' 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7951 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7952
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7953 (defun vhdl-electric-semicolon (count) "';;' --> ' : ', ': ;' --> ' := '"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7954 (interactive "p")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7955 (if (and vhdl-stutter-mode (= count 1) (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7956 (cond ((= (preceding-char) last-input-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7957 (progn (delete-char -1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7958 (unless (eq (preceding-char) ? ) (insert " "))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7959 (insert ": ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7960 (setq this-command 'vhdl-electric-colon)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7961 ((and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7962 (eq last-command 'vhdl-electric-colon) (= (preceding-char) ? ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7963 (progn (delete-char -1) (insert "= ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7964 (t (insert-char ?\; 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7965 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7966
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7967 (defun vhdl-electric-comma (count) "',,' --> ' <= '"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7968 (interactive "p")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7969 (if (and vhdl-stutter-mode (= count 1) (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7970 (cond ((= (preceding-char) last-input-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7971 (progn (delete-char -1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7972 (unless (eq (preceding-char) ? ) (insert " "))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7973 (insert "<= ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7974 (t (insert-char ?\, 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7975 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7976
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7977 (defun vhdl-electric-period (count) "'..' --> ' => '"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7978 (interactive "p")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7979 (if (and vhdl-stutter-mode (= count 1) (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7980 (cond ((= (preceding-char) last-input-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7981 (progn (delete-char -1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7982 (unless (eq (preceding-char) ? ) (insert " "))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7983 (insert "=> ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7984 (t (insert-char ?\. 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7985 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7986
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7987 (defun vhdl-electric-equal (count) "'==' --> ' == '"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7988 (interactive "p")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7989 (if (and vhdl-stutter-mode (= count 1) (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7990 (cond ((= (preceding-char) last-input-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7991 (progn (delete-char -1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7992 (unless (eq (preceding-char) ? ) (insert " "))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7993 (insert "== ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7994 (t (insert-char ?\= 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7995 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7996
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7997 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7998 ;; VHDL templates
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7999
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8000 (defun vhdl-template-paired-parens ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8001 "Insert a pair of round parentheses, placing point between them."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8002 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8003 (insert "()")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8004 (backward-char))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8005
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8006 (defun vhdl-template-alias ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8007 "Insert alias declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8008 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8009 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8010 (vhdl-insert-keyword "ALIAS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8011 (when (vhdl-template-field "name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8012 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8013 (unless (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8014 (concat "[type" (and (vhdl-standard-p 'ams) " or nature") "]")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8015 nil t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8016 (delete-backward-char 3))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8017 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8018 (vhdl-template-field "name" ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8019 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8020
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8021 (defun vhdl-template-architecture ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8022 "Insert architecture."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8023 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8024 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8025 (start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8026 arch-name)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8027 (vhdl-insert-keyword "ARCHITECTURE ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8028 (when (setq arch-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8029 (vhdl-template-field "name" nil t start (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8030 (vhdl-insert-keyword " OF ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8031 (if (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8032 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8033 (vhdl-re-search-backward "\\<entity \\(\\w+\\) is\\>" nil t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8034 (insert (match-string 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8035 (vhdl-template-field "entity name"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8036 (vhdl-insert-keyword " IS\n")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8037 (vhdl-template-begin-end
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8038 (unless (vhdl-standard-p '87) "ARCHITECTURE") arch-name margin
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8039 (memq vhdl-insert-empty-lines '(unit all))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8040
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8041 (defun vhdl-template-array (kind &optional secondary)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8042 "Insert array type definition."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8043 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8044 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8045 (vhdl-insert-keyword "ARRAY (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8046 (when (or (vhdl-template-field "range" nil (not secondary) start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8047 secondary)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8048 (vhdl-insert-keyword ") OF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8049 (vhdl-template-field (if (eq kind 'type) "type" "nature"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8050 (vhdl-insert-keyword ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8051
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8052 (defun vhdl-template-assert ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8053 "Insert an assertion statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8054 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8055 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8056 (vhdl-insert-keyword "ASSERT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8057 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8058 (when (vhdl-template-field "condition (negated)" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8059 (when vhdl-conditions-in-parenthesis (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8060 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8061 (vhdl-insert-keyword " REPORT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8062 (unless (vhdl-template-field "string expression" nil nil nil nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8063 (delete-region start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8064 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8065 (vhdl-insert-keyword " SEVERITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8066 (unless (vhdl-template-field "[NOTE | WARNING | ERROR | FAILURE]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8067 (delete-region start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8068 (insert ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8069
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8070 (defun vhdl-template-attribute ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8071 "Insert an attribute declaration or specification."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8072 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8073 (if (eq (vhdl-decision-query
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8074 "attribute" "(d)eclaration or (s)pecification?" t) ?s)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8075 (vhdl-template-attribute-spec)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8076 (vhdl-template-attribute-decl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8077
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8078 (defun vhdl-template-attribute-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8079 "Insert an attribute declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8080 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8081 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8082 (vhdl-insert-keyword "ATTRIBUTE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8083 (when (vhdl-template-field "name" " : " t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8084 (vhdl-template-field "type" ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8085 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8086
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8087 (defun vhdl-template-attribute-spec ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8088 "Insert an attribute specification."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8089 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8090 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8091 (vhdl-insert-keyword "ATTRIBUTE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8092 (when (vhdl-template-field "name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8093 (vhdl-insert-keyword " OF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8094 (vhdl-template-field "entity names | OTHERS | ALL" " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8095 (vhdl-template-field "entity class")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8096 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8097 (vhdl-template-field "expression" ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8098
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8099 (defun vhdl-template-block ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8100 "Insert a block."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8101 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8102 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8103 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8104 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8105 (vhdl-insert-keyword ": BLOCK ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8106 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8107 (when (setq label (vhdl-template-field "label" nil t start (+ (point) 8)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8108 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8109 (forward-char 1)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8110 (insert "(")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8111 (if (vhdl-template-field "[guard expression]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8112 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8113 (delete-char -2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8114 (unless (vhdl-standard-p '87) (vhdl-insert-keyword " IS"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8115 (insert "\n")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8116 (vhdl-template-begin-end "BLOCK" label margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8117 (vhdl-comment-block))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8118
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8119 (defun vhdl-template-block-configuration ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8120 "Insert a block configuration statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8121 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8122 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8123 (start (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8124 (vhdl-insert-keyword "FOR ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8125 (when (vhdl-template-field "block name" nil t start (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8126 (vhdl-insert-keyword "\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8127 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8128 (vhdl-insert-keyword "END FOR;")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8129 (end-of-line 0)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8130 (indent-to (+ margin vhdl-basic-offset)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8131
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8132 (defun vhdl-template-break ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8133 "Insert a break statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8134 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8135 (let (position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8136 (vhdl-insert-keyword "BREAK")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8137 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8138 (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8139 (while (or
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8140 (progn (vhdl-insert-keyword "FOR ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8141 (if (vhdl-template-field "[quantity name]" " USE " t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8142 (progn (vhdl-template-field "quantity name" " => ") t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8143 (kill-word -1) nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8144 (vhdl-template-field "[quantity name]" " => " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8145 (vhdl-template-field "expression")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8146 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8147 (insert ", "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8148 (delete-region position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8149 (unless (vhdl-sequential-statement-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8150 (vhdl-insert-keyword " ON ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8151 (if (vhdl-template-field "[sensitivity list]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8152 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8153 (delete-region position (point))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8154 (vhdl-insert-keyword " WHEN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8155 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8156 (if (vhdl-template-field "[condition]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8157 (when vhdl-conditions-in-parenthesis (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8158 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8159 (insert ";")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8160
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8161 (defun vhdl-template-case (&optional kind)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8162 "Insert a case statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8163 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8164 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8165 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8166 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8167 (unless kind (setq kind (if (vhdl-sequential-statement-p) 'is 'use)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8168 (if (or (not (eq vhdl-optional-labels 'all)) (vhdl-standard-p '87))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8169 (vhdl-insert-keyword "CASE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8170 (vhdl-insert-keyword ": CASE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8171 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8172 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8173 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8174 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8175 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8176 (when (vhdl-template-field "expression" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8177 (vhdl-insert-keyword (concat " " (if (eq kind 'is) "IS" "USE") "\n\n"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8178 (indent-to margin)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8179 (vhdl-insert-keyword "END CASE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8180 (when label (insert " " label))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8181 (insert ";")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8182 (forward-line -1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8183 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8184 (vhdl-insert-keyword "WHEN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8185 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8186 (insert " => ;\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8187 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8188 (vhdl-insert-keyword "WHEN OTHERS => null;")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8189 (goto-char position)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8190
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8191 (defun vhdl-template-case-is ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8192 "Insert a sequential case statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8193 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8194 (vhdl-template-case 'is))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8195
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8196 (defun vhdl-template-case-use ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8197 "Insert a simultaneous case statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8198 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8199 (vhdl-template-case 'use))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8200
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8201 (defun vhdl-template-component ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8202 "Insert a component declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8203 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8204 (vhdl-template-component-decl))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8205
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8206 (defun vhdl-template-component-conf ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8207 "Insert a component configuration (uses `vhdl-template-configuration-spec'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8208 since these are almost equivalent)."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8209 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8210 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8211 (result (vhdl-template-configuration-spec t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8212 (when result
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8213 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8214 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8215 (vhdl-insert-keyword "END FOR;")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8216 (when (eq result 'no-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8217 (end-of-line -0)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8218
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8219 (defun vhdl-template-component-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8220 "Insert a component declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8221 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8222 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8223 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8224 name end-column)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8225 (vhdl-insert-keyword "COMPONENT ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8226 (when (setq name (vhdl-template-field "name" nil t start (point)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8227 (unless (vhdl-standard-p '87) (vhdl-insert-keyword " IS"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8228 (insert "\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8229 (indent-to margin)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8230 (vhdl-insert-keyword "END COMPONENT")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8231 (unless (vhdl-standard-p '87) (insert " " name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8232 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8233 (setq end-column (current-column))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8234 (end-of-line -0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8235 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8236 (vhdl-template-generic-list t t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8237 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8238 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8239 (vhdl-template-port-list t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8240 (beginning-of-line 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8241 (forward-char end-column))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8242
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8243 (defun vhdl-template-component-inst ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8244 "Insert a component instantiation statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8245 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8246 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8247 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8248 unit position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8249 (when (vhdl-template-field "instance label" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8250 (insert ": ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8251 (if (not (vhdl-use-direct-instantiation))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8252 (vhdl-template-field "component name")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8253 ;; direct instantiation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8254 (setq unit (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8255 "[COMPONENT | ENTITY | CONFIGURATION]" " " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8256 (setq unit (upcase (or unit "")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8257 (cond ((equal unit "ENTITY")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8258 (vhdl-template-field "library name" "." nil nil nil nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8259 (vhdl-work-library))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8260 (vhdl-template-field "entity name" "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8261 (if (vhdl-template-field "[architecture name]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8262 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8263 (delete-char -1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8264 ((equal unit "CONFIGURATION")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8265 (vhdl-template-field "library name" "." nil nil nil nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8266 (vhdl-work-library))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8267 (vhdl-template-field "configuration name"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8268 (t (vhdl-template-field "component name"))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8269 (insert "\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8270 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8271 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8272 (vhdl-insert-keyword "GENERIC ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8273 (when (vhdl-template-map position t t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8274 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8275 (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8276 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8277 (vhdl-insert-keyword "PORT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8278 (unless (vhdl-template-map position t t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8279 (kill-line -0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8280 (delete-char -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8281 (insert ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8282
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8283 (defun vhdl-template-conditional-signal-asst ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8284 "Insert a conditional signal assignment."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8285 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8286 (when (vhdl-template-field "target signal")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8287 (insert " <= ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8288 ; (if (not (equal (vhdl-template-field "[GUARDED] [TRANSPORT]") ""))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8289 ; (insert " "))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8290 (let ((margin (current-column))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8291 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8292 position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8293 (vhdl-template-field "waveform")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8294 (setq position (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8295 (vhdl-insert-keyword " WHEN ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8296 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8297 (while (and (vhdl-template-field "[condition]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8298 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8299 (when vhdl-conditions-in-parenthesis (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8300 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8301 (vhdl-insert-keyword " ELSE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8302 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8303 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8304 (vhdl-template-field "[waveform]" nil t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8305 (setq position (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8306 (vhdl-insert-keyword " WHEN ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8307 (when vhdl-conditions-in-parenthesis (insert "(")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8308 (delete-region position (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8309 (insert ";")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8310 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8311
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8312 (defun vhdl-template-configuration ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8313 "Insert a configuration specification if within an architecture,
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8314 a block or component configuration if within a configuration declaration,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8315 a configuration declaration if not within a design unit."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8316 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8317 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8318 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8319 ((and (save-excursion ; architecture body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8320 (re-search-backward "^\\(architecture\\|end\\)\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8321 (equal "ARCHITECTURE" (upcase (match-string 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8322 (vhdl-template-configuration-spec))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8323 ((and (save-excursion ; configuration declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8324 (re-search-backward "^\\(configuration\\|end\\)\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8325 (equal "CONFIGURATION" (upcase (match-string 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8326 (if (eq (vhdl-decision-query
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8327 "configuration" "(b)lock or (c)omponent configuration?" t) ?c)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8328 (vhdl-template-component-conf)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8329 (vhdl-template-block-configuration)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8330 (t (vhdl-template-configuration-decl))))) ; otherwise
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8331
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8332 (defun vhdl-template-configuration-spec (&optional optional-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8333 "Insert a configuration specification."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8334 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8335 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8336 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8337 aspect position)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8338 (vhdl-insert-keyword "FOR ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8339 (when (vhdl-template-field "instance names | OTHERS | ALL" " : "
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8340 t start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8341 (vhdl-template-field "component name" "\n")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8342 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8343 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8344 (vhdl-insert-keyword "USE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8345 (if (and optional-use
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8346 (not (setq aspect (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8347 "[ENTITY | CONFIGURATION | OPEN]" " " t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8348 (progn (delete-region start (point)) 'no-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8349 (unless optional-use
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8350 (setq aspect (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8351 "ENTITY | CONFIGURATION | OPEN" " ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8352 (setq aspect (upcase (or aspect "")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8353 (cond ((equal aspect "ENTITY")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8354 (vhdl-template-field "library name" "." nil nil nil nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8355 (vhdl-work-library))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8356 (vhdl-template-field "entity name" "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8357 (if (vhdl-template-field "[architecture name]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8358 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8359 (delete-char -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8360 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8361 (indent-to (+ margin (* 2 vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8362 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8363 (vhdl-insert-keyword "GENERIC ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8364 (when (vhdl-template-map position t t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8365 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8366 (indent-to (+ margin (* 2 vhdl-basic-offset))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8367 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8368 (vhdl-insert-keyword "PORT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8369 (unless (vhdl-template-map position t t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8370 (kill-line -0)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8371 (delete-char -1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8372 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8373 t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8374 ((equal aspect "CONFIGURATION")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8375 (vhdl-template-field "library name" "." nil nil nil nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8376 (vhdl-work-library))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8377 (vhdl-template-field "configuration name" ";"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8378 (t (delete-backward-char 1) (insert ";") t))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8379
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8380
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8381 (defun vhdl-template-configuration-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8382 "Insert a configuration declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8383 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8384 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8385 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8386 entity-exists string name position)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8387 (vhdl-insert-keyword "CONFIGURATION ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8388 (when (setq name (vhdl-template-field "name" nil t start (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8389 (vhdl-insert-keyword " OF ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8390 (save-excursion
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8391 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8392 (setq entity-exists (vhdl-re-search-backward
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8393 "\\<entity \\(\\w*\\) is\\>" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8394 (setq string (match-string 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8395 (if (and entity-exists (not (equal string "")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8396 (insert string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8397 (vhdl-template-field "entity name"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8398 (vhdl-insert-keyword " IS\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8399 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8400 (indent-to (+ margin vhdl-basic-offset))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8401 (setq position (point))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8402 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8403 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8404 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8405 (vhdl-insert-keyword "END ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8406 (unless (vhdl-standard-p '87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8407 (vhdl-insert-keyword "CONFIGURATION "))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8408 (insert name ";")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8409 (goto-char position))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8410
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8411 (defun vhdl-template-constant ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8412 "Insert a constant declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8413 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8414 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8415 (in-arglist (vhdl-in-argument-list-p)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8416 (vhdl-insert-keyword "CONSTANT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8417 (when (vhdl-template-field "name" nil t start (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8418 (insert " : ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8419 (when in-arglist (vhdl-insert-keyword "IN "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8420 (vhdl-template-field "type")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8421 (if in-arglist
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8422 (progn (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8423 (vhdl-comment-insert-inline))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8424 (let ((position (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8425 (insert " := ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8426 (unless (vhdl-template-field "[initialization]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8427 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8428 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8429 (vhdl-comment-insert-inline))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8430
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8431 (defun vhdl-template-default ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8432 "Insert nothing."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8433 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8434 (insert " ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8435 (unexpand-abbrev)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8436 (backward-word 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8437 (vhdl-case-word 1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8438 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8439
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8440 (defun vhdl-template-default-indent ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8441 "Insert nothing and indent."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8442 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8443 (insert " ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8444 (unexpand-abbrev)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8445 (backward-word 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8446 (vhdl-case-word 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8447 (forward-char 1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8448 (indent-according-to-mode))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8449
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8450 (defun vhdl-template-disconnect ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8451 "Insert a disconnect statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8452 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8453 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8454 (vhdl-insert-keyword "DISCONNECT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8455 (when (vhdl-template-field "signal names | OTHERS | ALL"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8456 " : " t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8457 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8458 (vhdl-insert-keyword " AFTER ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8459 (vhdl-template-field "time expression" ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8460
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8461 (defun vhdl-template-else ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8462 "Insert an else statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8463 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8464 (let (margin)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8465 (vhdl-prepare-search-1
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8466 (vhdl-insert-keyword "ELSE")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8467 (if (and (save-excursion (vhdl-re-search-backward "\\(\\<when\\>\\|;\\)" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8468 (equal "WHEN" (upcase (match-string 1))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8469 (insert " ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8470 (indent-according-to-mode)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8471 (setq margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8472 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8473 (indent-to (+ margin vhdl-basic-offset))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8474
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8475 (defun vhdl-template-elsif ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8476 "Insert an elsif statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8477 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8478 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8479 margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8480 (vhdl-insert-keyword "ELSIF ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8481 (when (or (vhdl-sequential-statement-p) (vhdl-standard-p 'ams))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8482 (when vhdl-conditions-in-parenthesis (insert "("))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8483 (when (vhdl-template-field "condition" nil t start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8484 (when vhdl-conditions-in-parenthesis (insert ")"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8485 (indent-according-to-mode)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8486 (setq margin (current-indentation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8487 (vhdl-insert-keyword
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8488 (concat " " (if (vhdl-sequential-statement-p) "THEN" "USE") "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8489 (indent-to (+ margin vhdl-basic-offset))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8490
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8491 (defun vhdl-template-entity ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8492 "Insert an entity."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8493 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8494 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8495 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8496 name end-column)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8497 (vhdl-insert-keyword "ENTITY ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8498 (when (setq name (vhdl-template-field "name" nil t start (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8499 (vhdl-insert-keyword " IS\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8500 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8501 (vhdl-insert-keyword "END ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8502 (unless (vhdl-standard-p '87) (vhdl-insert-keyword "ENTITY "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8503 (insert name ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8504 (setq end-column (current-column))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8505 (end-of-line -0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8506 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8507 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8508 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8509 (when (vhdl-template-generic-list t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8510 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8511 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8512 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8513 (when (vhdl-template-port-list t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8514 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8515 (beginning-of-line 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8516 (forward-char end-column))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8517
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8518 (defun vhdl-template-exit ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8519 "Insert an exit statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8520 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8521 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8522 (vhdl-insert-keyword "EXIT ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8523 (if (vhdl-template-field "[loop label]" nil t start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8524 (let ((position (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8525 (vhdl-insert-keyword " WHEN ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8526 (when vhdl-conditions-in-parenthesis (insert "("))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8527 (if (vhdl-template-field "[condition]" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8528 (when vhdl-conditions-in-parenthesis (insert ")"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8529 (delete-region position (point))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8530 (delete-char -1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8531 (insert ";")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8532
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8533 (defun vhdl-template-file ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8534 "Insert a file declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8535 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8536 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8537 (vhdl-insert-keyword "FILE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8538 (when (vhdl-template-field "name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8539 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8540 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8541 (unless (vhdl-standard-p '87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8542 (vhdl-insert-keyword " OPEN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8543 (unless (vhdl-template-field "[READ_MODE | WRITE_MODE | APPEND_MODE]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8544 nil t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8545 (delete-backward-char 6)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8546 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8547 (when (vhdl-standard-p '87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8548 (vhdl-template-field "[IN | OUT]" " " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8549 (vhdl-template-field "filename-string" nil nil nil nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8550 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8551 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8552
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8553 (defun vhdl-template-for ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8554 "Insert a block or component configuration if within a configuration
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8555 declaration, a configuration specification if within an architecture
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8556 declarative part (and not within a subprogram), a for-loop if within a
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8557 sequential statement part (subprogram or process), and a for-generate
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8558 otherwise."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8559 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8560 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8561 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8562 ((vhdl-sequential-statement-p) ; sequential statement
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8563 (vhdl-template-for-loop))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8564 ((and (save-excursion ; configuration declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8565 (re-search-backward "^\\(configuration\\|end\\)\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8566 (equal "CONFIGURATION" (upcase (match-string 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8567 (if (eq (vhdl-decision-query
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8568 "for" "(b)lock or (c)omponent configuration?" t) ?c)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8569 (vhdl-template-component-conf)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8570 (vhdl-template-block-configuration)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8571 ((and (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8572 (re-search-backward ; architecture declarative part
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8573 "^\\(architecture\\|entity\\|begin\\|end\\)\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8574 (equal "ARCHITECTURE" (upcase (match-string 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8575 (vhdl-template-configuration-spec))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8576 (t (vhdl-template-for-generate))))) ; concurrent statement
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8577
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8578 (defun vhdl-template-for-generate ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8579 "Insert a for-generate."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8580 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8581 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8582 (start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8583 label position)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8584 (vhdl-insert-keyword ": FOR ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8585 (setq position (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8586 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8587 (when (setq label (vhdl-template-field "label" nil t start position))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8588 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8589 (vhdl-template-field "loop variable")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8590 (vhdl-insert-keyword " IN ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8591 (vhdl-template-field "range")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8592 (vhdl-template-generate-body margin label))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8593
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8594 (defun vhdl-template-for-loop ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8595 "Insert a for loop."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8596 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8597 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8598 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8599 label index)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8600 (if (not (eq vhdl-optional-labels 'all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8601 (vhdl-insert-keyword "FOR ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8602 (vhdl-insert-keyword ": FOR ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8603 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8604 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8605 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8606 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8607 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8608 (when (setq index (vhdl-template-field "loop variable"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8609 nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8610 (vhdl-insert-keyword " IN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8611 (vhdl-template-field "range")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8612 (vhdl-insert-keyword " LOOP\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8613 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8614 (vhdl-insert-keyword "END LOOP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8615 (if label
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8616 (insert " " label ";")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8617 (insert ";")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8618 (when vhdl-self-insert-comments (insert " -- " index)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8619 (forward-line -1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8620 (indent-to (+ margin vhdl-basic-offset)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8621
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8622 (defun vhdl-template-function (&optional kind)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8623 "Insert a function declaration or body."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8624 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8625 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8626 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8627 name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8628 (vhdl-insert-keyword "FUNCTION ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8629 (when (setq name (vhdl-template-field "name" nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8630 (vhdl-template-argument-list t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8631 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8632 (end-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8633 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8634 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8635 (vhdl-insert-keyword "RETURN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8636 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8637 (if (if kind (eq kind 'body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8638 (eq (vhdl-decision-query nil "(d)eclaration or (b)ody?") ?b))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8639 (progn (vhdl-insert-keyword " IS\n")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8640 (vhdl-template-begin-end
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8641 (unless (vhdl-standard-p '87) "FUNCTION") name margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8642 (vhdl-comment-block))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8643 (insert ";")))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8644
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8645 (defun vhdl-template-function-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8646 "Insert a function declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8647 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8648 (vhdl-template-function 'decl))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8649
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8650 (defun vhdl-template-function-body ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8651 "Insert a function declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8652 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8653 (vhdl-template-function 'body))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8654
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8655 (defun vhdl-template-generate ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8656 "Insert a generation scheme."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8657 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8658 (if (eq (vhdl-decision-query nil "(f)or or (i)f?" t) ?i)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8659 (vhdl-template-if-generate)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8660 (vhdl-template-for-generate)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8661
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8662 (defun vhdl-template-generic ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8663 "Insert generic declaration, or generic map in instantiation statements."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8664 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8665 (let ((start (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8666 (vhdl-prepare-search-1
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8667 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8668 ((and (save-excursion ; entity declaration
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8669 (re-search-backward "^\\(entity\\|end\\)\\>" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8670 (equal "ENTITY" (upcase (match-string 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8671 (vhdl-template-generic-list nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8672 ((or (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8673 (or (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8674 (looking-at "^\\s-*\\w+\\s-*:\\s-*\\w+")))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8675 (equal 'statement-cont (caar (vhdl-get-syntactic-context))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8676 (vhdl-insert-keyword "GENERIC ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8677 (vhdl-template-map start))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8678 (t (vhdl-template-generic-list nil t))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8679
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8680 (defun vhdl-template-group ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8681 "Insert group or group template declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8682 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8683 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8684 (if (eq (vhdl-decision-query
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8685 "group" "(d)eclaration or (t)emplate declaration?" t) ?t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8686 (vhdl-template-group-template)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8687 (vhdl-template-group-decl))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8688
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8689 (defun vhdl-template-group-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8690 "Insert group declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8691 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8692 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8693 (vhdl-insert-keyword "GROUP ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8694 (when (vhdl-template-field "name" " : " t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8695 (vhdl-template-field "template name" " (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8696 (vhdl-template-field "constituent list" ");")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8697 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8698
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8699 (defun vhdl-template-group-template ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8700 "Insert group template declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8701 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8702 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8703 (vhdl-insert-keyword "GROUP ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8704 (when (vhdl-template-field "template name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8705 (vhdl-insert-keyword " IS (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8706 (vhdl-template-field "entity class list" ");")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8707 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8708
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8709 (defun vhdl-template-if ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8710 "Insert a sequential if statement or an if-generate statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8711 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8712 (if (vhdl-sequential-statement-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8713 (vhdl-template-if-then)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8714 (if (and (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8715 (eq (vhdl-decision-query "if" "(g)enerate or (u)se?" t) ?u))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8716 (vhdl-template-if-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8717 (vhdl-template-if-generate))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8718
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8719 (defun vhdl-template-if-generate ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8720 "Insert an if-generate."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8721 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8722 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8723 (start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8724 label position)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8725 (vhdl-insert-keyword ": IF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8726 (setq position (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8727 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8728 (when (setq label (vhdl-template-field "label" nil t start position))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8729 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8730 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8731 (vhdl-template-field "condition")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8732 (when vhdl-conditions-in-parenthesis (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8733 (vhdl-template-generate-body margin label))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8734
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8735 (defun vhdl-template-if-then-use (kind)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8736 "Insert a sequential if statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8737 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8738 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8739 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8740 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8741 (if (or (not (eq vhdl-optional-labels 'all)) (vhdl-standard-p '87))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8742 (vhdl-insert-keyword "IF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8743 (vhdl-insert-keyword ": IF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8744 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8745 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8746 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8747 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8748 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8749 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8750 (when (vhdl-template-field "condition" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8751 (when vhdl-conditions-in-parenthesis (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8752 (vhdl-insert-keyword
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8753 (concat " " (if (eq kind 'then) "THEN" "USE") "\n\n"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8754 (indent-to margin)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8755 (vhdl-insert-keyword "END IF")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8756 (when label (insert " " label))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8757 (insert ";")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8758 (forward-line -1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8759 (indent-to (+ margin vhdl-basic-offset)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8760
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8761 (defun vhdl-template-if-then ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8762 "Insert a sequential if statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8763 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8764 (vhdl-template-if-then-use 'then))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8765
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8766 (defun vhdl-template-if-use ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8767 "Insert a simultaneous if statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8768 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8769 (vhdl-template-if-then-use 'use))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8770
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8771 (defun vhdl-template-instance ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8772 "Insert a component instantiation statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8773 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8774 (vhdl-template-component-inst))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8775
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8776 (defun vhdl-template-library ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8777 "Insert a library specification."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8778 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8779 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8780 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8781 name end-pos)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8782 (vhdl-insert-keyword "LIBRARY ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8783 (when (setq name (vhdl-template-field "names" nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8784 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8785 (unless (string-match "," name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8786 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8787 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8788 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8789 (vhdl-insert-keyword "USE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8790 (insert name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8791 (vhdl-insert-keyword "..ALL;")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8792 (backward-char 5)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8793 (if (vhdl-template-field "package name")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8794 (forward-char 5)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8795 (delete-region end-pos (+ (point) 5)))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8796
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8797 (defun vhdl-template-limit ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8798 "Insert a limit."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8799 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8800 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8801 (vhdl-insert-keyword "LIMIT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8802 (when (vhdl-template-field "quantity names | OTHERS | ALL" " : "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8803 t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8804 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8805 (vhdl-insert-keyword " WITH ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8806 (vhdl-template-field "real expression" ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8807
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8808 (defun vhdl-template-loop ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8809 "Insert a loop."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8810 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8811 (let ((char (vhdl-decision-query nil "(w)hile, (f)or, or (b)are?" t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8812 (cond ((eq char ?w)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8813 (vhdl-template-while-loop))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8814 ((eq char ?f)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8815 (vhdl-template-for-loop))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8816 (t (vhdl-template-bare-loop)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8817
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8818 (defun vhdl-template-bare-loop ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8819 "Insert a loop."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8820 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8821 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8822 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8823 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8824 (if (not (eq vhdl-optional-labels 'all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8825 (vhdl-insert-keyword "LOOP ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8826 (vhdl-insert-keyword ": LOOP ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8827 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8828 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8829 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8830 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8831 (delete-char 1))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8832 (insert "\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8833 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8834 (vhdl-insert-keyword "END LOOP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8835 (insert (if label (concat " " label ";") ";"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8836 (forward-line -1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8837 (indent-to (+ margin vhdl-basic-offset))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8838
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8839 (defun vhdl-template-map (&optional start optional secondary)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8840 "Insert a map specification with association list."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8841 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8842 (let ((start (or start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8843 margin end-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8844 (vhdl-insert-keyword "MAP (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8845 (if (not vhdl-association-list-with-formals)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8846 (if (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8847 (concat (and optional "[") "association list" (and optional "]"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8848 ")" (or (not secondary) optional)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8849 (and (not secondary) start) (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8850 t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8851 (if (and optional secondary) (delete-region start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8852 nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8853 (if vhdl-argument-list-indent
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8854 (setq margin (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8855 (setq margin (+ (current-indentation) vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8856 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8857 (indent-to margin))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8858 (if (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8859 (concat (and optional "[") "formal" (and optional "]"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8860 " => " (or (not secondary) optional)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8861 (and (not secondary) start) (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8862 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8863 (vhdl-template-field "actual" ",")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8864 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8865 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8866 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8867 (while (vhdl-template-field "[formal]" " => " t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8868 (vhdl-template-field "actual" ",")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8869 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8870 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8871 (indent-to margin))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8872 (delete-region end-pos (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8873 (delete-backward-char 1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8874 (insert ")")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8875 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8876 t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8877 (when (and optional secondary) (delete-region start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8878 nil))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8879
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8880 (defun vhdl-template-modify (&optional noerror)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8881 "Actualize modification date."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8882 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8883 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8884 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8885 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8886 (if (re-search-forward vhdl-modify-date-prefix-string nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8887 (progn (delete-region (point) (progn (end-of-line) (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8888 (vhdl-template-insert-date))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8889 (unless noerror
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8890 (error (concat "ERROR: Modification date prefix string \""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8891 vhdl-modify-date-prefix-string "\" not found")))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8892
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8893 (defun vhdl-template-modify-noerror ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8894 "Call `vhdl-template-modify' with NOERROR non-nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8895 (vhdl-template-modify t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8896
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8897 (defun vhdl-template-nature ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8898 "Insert a nature declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8899 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8900 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8901 name mid-pos end-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8902 (vhdl-insert-keyword "NATURE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8903 (when (setq name (vhdl-template-field "name" nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8904 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8905 (let ((definition
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8906 (upcase
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8907 (or (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8908 "across type | ARRAY | RECORD")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8909 ""))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8910 (cond ((equal definition "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8911 (insert ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8912 ((equal definition "ARRAY")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8913 (kill-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8914 (vhdl-template-array 'nature t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8915 ((equal definition "RECORD")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8916 (setq mid-pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8917 (kill-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8918 (vhdl-template-record 'nature name t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8919 (t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8920 (vhdl-insert-keyword " ACROSS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8921 (vhdl-template-field "through type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8922 (vhdl-insert-keyword " THROUGH ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8923 (vhdl-template-field "reference name")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8924 (vhdl-insert-keyword " REFERENCE;")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8925 (when mid-pos
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8926 (setq end-pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8927 (goto-char mid-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8928 (end-of-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8929 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8930 (when end-pos (goto-char end-pos))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8931
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8932 (defun vhdl-template-next ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8933 "Insert a next statement."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8934 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8935 (let ((start (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8936 (vhdl-insert-keyword "NEXT ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8937 (if (vhdl-template-field "[loop label]" nil t start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8938 (let ((position (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8939 (vhdl-insert-keyword " WHEN ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8940 (when vhdl-conditions-in-parenthesis (insert "("))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8941 (if (vhdl-template-field "[condition]" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8942 (when vhdl-conditions-in-parenthesis (insert ")"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8943 (delete-region position (point))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8944 (delete-char -1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8945 (insert ";")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8946
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8947 (defun vhdl-template-others ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8948 "Insert an others aggregate."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8949 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8950 (let ((start (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8951 (if (or (= (preceding-char) ?\() (not vhdl-template-invoked-by-hook))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8952 (progn (unless vhdl-template-invoked-by-hook (insert "("))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8953 (vhdl-insert-keyword "OTHERS => '")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8954 (when (vhdl-template-field "value" nil t start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8955 (insert "')")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8956 (vhdl-insert-keyword "OTHERS "))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8957
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8958 (defun vhdl-template-package (&optional kind)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8959 "Insert a package specification or body."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8960 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8961 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8962 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8963 name body position)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8964 (vhdl-insert-keyword "PACKAGE ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8965 (setq body (if kind (eq kind 'body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8966 (eq (vhdl-decision-query nil "(d)eclaration or (b)ody?") ?b)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8967 (when body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8968 (vhdl-insert-keyword "BODY ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8969 (when (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8970 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8971 (vhdl-re-search-backward "\\<package \\(\\w+\\) is\\>" nil t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8972 (insert (setq name (match-string 1)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8973 (when (or name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8974 (setq name (vhdl-template-field "name" nil t start (point))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8975 (vhdl-insert-keyword " IS\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8976 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8977 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8978 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8979 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8980 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8981 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8982 (vhdl-insert-keyword "END ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8983 (unless (vhdl-standard-p '87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8984 (vhdl-insert-keyword (concat "PACKAGE " (and body "BODY "))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8985 (insert (or name "") ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8986 (goto-char position))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8987
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8988 (defun vhdl-template-package-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8989 "Insert a package specification."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8990 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8991 (vhdl-template-package 'decl))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8992
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8993 (defun vhdl-template-package-body ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8994 "Insert a package body."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8995 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8996 (vhdl-template-package 'body))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8997
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8998 (defun vhdl-template-port ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8999 "Insert a port declaration, or port map in instantiation statements."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9000 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9001 (let ((start (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9002 (vhdl-prepare-search-1
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9003 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9004 ((and (save-excursion ; entity declaration
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9005 (re-search-backward "^\\(entity\\|end\\)\\>" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9006 (equal "ENTITY" (upcase (match-string 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9007 (vhdl-template-port-list nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9008 ((or (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9009 (or (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9010 (looking-at "^\\s-*\\w+\\s-*:\\s-*\\w+")))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9011 (equal 'statement-cont (caar (vhdl-get-syntactic-context))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9012 (vhdl-insert-keyword "PORT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9013 (vhdl-template-map start))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9014 (t (vhdl-template-port-list nil))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9015
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9016 (defun vhdl-template-procedural ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9017 "Insert a procedural."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9018 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9019 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9020 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9021 (case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9022 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9023 (vhdl-insert-keyword "PROCEDURAL ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9024 (when (memq vhdl-optional-labels '(process all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9025 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9026 (insert ": ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9027 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9028 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9029 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9030 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9031 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9032 (unless (vhdl-standard-p '87) (vhdl-insert-keyword "IS"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9033 (insert "\n")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9034 (vhdl-template-begin-end "PROCEDURAL" label margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9035 (vhdl-comment-block)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9036
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9037 (defun vhdl-template-procedure (&optional kind)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9038 "Insert a procedure declaration or body."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9039 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9040 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9041 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9042 name)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9043 (vhdl-insert-keyword "PROCEDURE ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9044 (when (setq name (vhdl-template-field "name" nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9045 (vhdl-template-argument-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9046 (if (if kind (eq kind 'body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9047 (eq (vhdl-decision-query nil "(d)eclaration or (b)ody?") ?b))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9048 (progn (vhdl-insert-keyword " IS")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9049 (when vhdl-auto-align
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9050 (vhdl-align-region-groups start (point) 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9051 (end-of-line) (insert "\n")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9052 (vhdl-template-begin-end
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9053 (unless (vhdl-standard-p '87) "PROCEDURE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9054 name margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9055 (vhdl-comment-block))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9056 (insert ";")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9057 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9058 (end-of-line)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9059
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9060 (defun vhdl-template-procedure-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9061 "Insert a procedure declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9062 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9063 (vhdl-template-procedure 'decl))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9064
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9065 (defun vhdl-template-procedure-body ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9066 "Insert a procedure body."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9067 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9068 (vhdl-template-procedure 'body))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9069
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9070 (defun vhdl-template-process (&optional kind)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9071 "Insert a process."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9072 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9073 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9074 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9075 label seq input-signals clock reset final-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9076 (setq seq (if kind (eq kind 'seq)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9077 (eq (vhdl-decision-query
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9078 "process" "(c)ombinational or (s)equential?" t) ?s)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9079 (vhdl-insert-keyword "PROCESS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9080 (when (memq vhdl-optional-labels '(process all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9081 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9082 (insert ": ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9083 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9084 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9085 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9086 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9087 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9088 (insert "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9089 (if (not seq)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9090 (unless (setq input-signals
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9091 (vhdl-template-field "[sensitivity list]" ")" t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9092 (setq input-signals "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9093 (delete-char -2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9094 (setq clock (or (and (not (equal "" vhdl-clock-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9095 (progn (insert vhdl-clock-name) vhdl-clock-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9096 (vhdl-template-field "clock name") "<clock>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9097 (when (eq vhdl-reset-kind 'async)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9098 (insert ", ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9099 (setq reset (or (and (not (equal "" vhdl-reset-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9100 (progn (insert vhdl-reset-name) vhdl-reset-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9101 (vhdl-template-field "reset name") "<reset>")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9102 (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9103 (unless (vhdl-standard-p '87) (vhdl-insert-keyword " IS"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9104 (insert "\n")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9105 (vhdl-template-begin-end "PROCESS" label margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9106 (when seq (setq reset (vhdl-template-seq-process clock reset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9107 (when vhdl-prompt-for-comments
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9108 (setq final-pos (point-marker))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9109 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9110 (when (and (vhdl-re-search-backward "\\<begin\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9111 (vhdl-re-search-backward "\\<process\\>" nil t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9112 (end-of-line -0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9113 (if (bobp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9114 (progn (insert "\n") (forward-line -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9115 (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9116 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9117 (insert "-- purpose: ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9118 (if (not (vhdl-template-field "[description]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9119 (vhdl-line-kill-entire)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9120 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9121 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9122 (insert "-- type : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9123 (insert (if seq "sequential" "combinational") "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9124 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9125 (insert "-- inputs : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9126 (if (not seq)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9127 (insert input-signals)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9128 (insert clock ", ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9129 (when reset (insert reset ", "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9130 (unless (vhdl-template-field "[signal names]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9131 (delete-char -2)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9132 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9133 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9134 (insert "-- outputs: ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9135 (vhdl-template-field "[signal names]" nil t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9136 (goto-char final-pos))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9137
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9138 (defun vhdl-template-process-comb ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9139 "Insert a combinational process."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9140 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9141 (vhdl-template-process 'comb))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9142
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9143 (defun vhdl-template-process-seq ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9144 "Insert a sequential process."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9145 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9146 (vhdl-template-process 'seq))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9147
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9148 (defun vhdl-template-quantity ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9149 "Insert a quantity declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9150 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9151 (if (vhdl-in-argument-list-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9152 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9153 (vhdl-insert-keyword "QUANTITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9154 (when (vhdl-template-field "names" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9155 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9156 (vhdl-template-field "[IN | OUT]" " " t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9157 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9158 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9159 (vhdl-comment-insert-inline)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9160 (let ((char (vhdl-decision-query
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9161 "quantity" "(f)ree, (b)ranch, or (s)ource quantity?" t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9162 (cond ((eq char ?f) (vhdl-template-quantity-free))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9163 ((eq char ?b) (vhdl-template-quantity-branch))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9164 ((eq char ?s) (vhdl-template-quantity-source))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9165 (t (vhdl-template-undo (point) (point)))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9166
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9167 (defun vhdl-template-quantity-free ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9168 "Insert a free quantity declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9169 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9170 (vhdl-insert-keyword "QUANTITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9171 (vhdl-template-field "names")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9172 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9173 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9174 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9175 (insert " := ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9176 (unless (vhdl-template-field "[initialization]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9177 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9178 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9179 (vhdl-comment-insert-inline)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9180
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9181 (defun vhdl-template-quantity-branch ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9182 "Insert a branch quantity declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9183 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9184 (let (position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9185 (vhdl-insert-keyword "QUANTITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9186 (when (vhdl-template-field "[across names]" " " t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9187 (vhdl-insert-keyword "ACROSS "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9188 (when (vhdl-template-field "[through names]" " " t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9189 (vhdl-insert-keyword "THROUGH "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9190 (vhdl-template-field "plus terminal name")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9191 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9192 (vhdl-insert-keyword " TO ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9193 (unless (vhdl-template-field "[minus terminal name]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9194 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9195 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9196 (vhdl-comment-insert-inline)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9197
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9198 (defun vhdl-template-quantity-source ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9199 "Insert a source quantity declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9200 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9201 (vhdl-insert-keyword "QUANTITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9202 (vhdl-template-field "names")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9203 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9204 (vhdl-template-field "type" " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9205 (if (eq (vhdl-decision-query nil "(s)pectrum or (n)oise?") ?n)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9206 (progn (vhdl-insert-keyword "NOISE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9207 (vhdl-template-field "power expression"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9208 (vhdl-insert-keyword "SPECTRUM ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9209 (vhdl-template-field "magnitude expression" ", ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9210 (vhdl-template-field "phase expression"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9211 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9212 (vhdl-comment-insert-inline))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9213
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9214 (defun vhdl-template-record (kind &optional name secondary)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9215 "Insert a record type declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9216 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9217 (let ((margin (current-column))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9218 (start (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9219 (first t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9220 (vhdl-insert-keyword "RECORD\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9221 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9222 (when (or (vhdl-template-field "element names"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9223 nil (not secondary) start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9224 secondary)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9225 (while (or first (vhdl-template-field "[element names]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9226 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9227 (vhdl-template-field (if (eq kind 'type) "type" "nature") ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9228 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9229 (insert "\n")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9230 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9231 (setq first nil))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9232 (kill-line -0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9233 (indent-to margin)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9234 (vhdl-insert-keyword "END RECORD")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9235 (unless (vhdl-standard-p '87) (and name (insert " " name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9236 (insert ";")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9237 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9238
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9239 (defun vhdl-template-report ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9240 "Insert a report statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9241 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9242 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9243 (vhdl-insert-keyword "REPORT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9244 (if (equal "\"\"" (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9245 "string expression" nil t start (point) t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9246 (delete-backward-char 2)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9247 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9248 (vhdl-insert-keyword " SEVERITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9249 (unless (vhdl-template-field "[NOTE | WARNING | ERROR | FAILURE]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9250 (delete-region start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9251 (insert ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9252
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9253 (defun vhdl-template-return ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9254 "Insert a return statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9255 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9256 (let ((start (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9257 (vhdl-insert-keyword "RETURN ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9258 (unless (vhdl-template-field "[expression]" nil t start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9259 (delete-char -1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9260 (insert ";")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9261
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9262 (defun vhdl-template-selected-signal-asst ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9263 "Insert a selected signal assignment."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9264 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9265 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9266 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9267 (choices t))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9268 (let ((position (point)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9269 (vhdl-insert-keyword " SELECT ")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9270 (goto-char position))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9271 (vhdl-insert-keyword "WITH ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9272 (when (vhdl-template-field "selector expression"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9273 nil t start (+ (point) 7))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9274 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9275 (delete-char 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9276 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9277 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9278 (vhdl-template-field "target signal" " <= ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9279 ; (vhdl-template-field "[GUARDED] [TRANSPORT]")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9280 (insert "\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9281 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9282 (vhdl-template-field "waveform")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9283 (vhdl-insert-keyword " WHEN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9284 (vhdl-template-field "choices" ",")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9285 (insert "\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9286 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9287 (while (and choices (vhdl-template-field "[waveform]" nil t))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9288 (vhdl-insert-keyword " WHEN ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9289 (if (setq choices (vhdl-template-field "[choices]" "," t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9290 (progn (insert "\n") (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9291 (vhdl-insert-keyword "OTHERS")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9292 (when choices
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9293 (fixup-whitespace)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9294 (delete-char -2))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9295 (insert ";")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9296 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9297
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9298 (defun vhdl-template-signal ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9299 "Insert a signal declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9300 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9301 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9302 (in-arglist (vhdl-in-argument-list-p)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9303 (vhdl-insert-keyword "SIGNAL ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9304 (when (vhdl-template-field "names" nil t start (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9305 (insert " : ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9306 (when in-arglist (vhdl-template-field "[IN | OUT | INOUT]" " " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9307 (vhdl-template-field "type")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9308 (if in-arglist
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9309 (progn (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9310 (vhdl-comment-insert-inline))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9311 (let ((position (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9312 (insert " := ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9313 (unless (vhdl-template-field "[initialization]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9314 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9315 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9316 (vhdl-comment-insert-inline))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9317
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9318 (defun vhdl-template-subnature ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9319 "Insert a subnature declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9320 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9321 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9322 position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9323 (vhdl-insert-keyword "SUBNATURE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9324 (when (vhdl-template-field "name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9325 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9326 (vhdl-template-field "nature" " (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9327 (if (vhdl-template-field "[index range]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9328 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9329 (delete-char -2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9330 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9331 (vhdl-insert-keyword " TOLERANCE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9332 (if (equal "\"\"" (vhdl-template-field "[string expression]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9333 nil t nil nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9334 (delete-region position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9335 (vhdl-insert-keyword " ACROSS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9336 (vhdl-template-field "string expression" nil nil nil nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9337 (vhdl-insert-keyword " THROUGH"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9338 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9339 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9340
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9341 (defun vhdl-template-subprogram-body ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9342 "Insert a subprogram body."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9343 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9344 (if (eq (vhdl-decision-query nil "(p)rocedure or (f)unction?" t) ?f)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9345 (vhdl-template-function-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9346 (vhdl-template-procedure-body)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9347
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9348 (defun vhdl-template-subprogram-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9349 "Insert a subprogram declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9350 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9351 (if (eq (vhdl-decision-query nil "(p)rocedure or (f)unction?" t) ?f)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9352 (vhdl-template-function-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9353 (vhdl-template-procedure-decl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9354
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9355 (defun vhdl-template-subtype ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9356 "Insert a subtype declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9357 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9358 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9359 (vhdl-insert-keyword "SUBTYPE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9360 (when (vhdl-template-field "name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9361 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9362 (vhdl-template-field "type" " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9363 (unless
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9364 (vhdl-template-field "[RANGE value range | ( index range )]" nil t)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9365 (delete-char -1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9366 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9367 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9368
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9369 (defun vhdl-template-terminal ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9370 "Insert a terminal declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9371 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9372 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9373 (vhdl-insert-keyword "TERMINAL ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9374 (when (vhdl-template-field "names" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9375 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9376 (vhdl-template-field "nature")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9377 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9378 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9379
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9380 (defun vhdl-template-type ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9381 "Insert a type declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9382 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9383 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9384 name mid-pos end-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9385 (vhdl-insert-keyword "TYPE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9386 (when (setq name (vhdl-template-field "name" nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9387 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9388 (let ((definition
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9389 (upcase
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9390 (or (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9391 "[scalar type | ARRAY | RECORD | ACCESS | FILE]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9392 ""))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9393 (cond ((equal definition "")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9394 (delete-backward-char 4)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9395 (insert ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9396 ((equal definition "ARRAY")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9397 (kill-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9398 (vhdl-template-array 'type t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9399 ((equal definition "RECORD")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9400 (setq mid-pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9401 (kill-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9402 (vhdl-template-record 'type name t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9403 ((equal definition "ACCESS")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9404 (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9405 (vhdl-template-field "type" ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9406 ((equal definition "FILE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9407 (vhdl-insert-keyword " OF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9408 (vhdl-template-field "type" ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9409 (t (insert ";")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9410 (when mid-pos
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9411 (setq end-pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9412 (goto-char mid-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9413 (end-of-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9414 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9415 (when end-pos (goto-char end-pos))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9416
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9417 (defun vhdl-template-use ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9418 "Insert a use clause."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9419 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9420 (let ((start (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9421 (vhdl-prepare-search-1
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9422 (vhdl-insert-keyword "USE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9423 (when (save-excursion (beginning-of-line) (looking-at "^\\s-*use\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9424 (vhdl-insert-keyword "..ALL;")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9425 (backward-char 6)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9426 (when (vhdl-template-field "library name" nil t start (+ (point) 6))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9427 (forward-char 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9428 (vhdl-template-field "package name")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9429 (forward-char 5))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9430
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9431 (defun vhdl-template-variable ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9432 "Insert a variable declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9433 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9434 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9435 (in-arglist (vhdl-in-argument-list-p)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9436 (vhdl-prepare-search-2
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9437 (if (or (save-excursion
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9438 (and (vhdl-re-search-backward
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9439 "\\<function\\|procedure\\|process\\|procedural\\|end\\>"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9440 nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9441 (not (progn (backward-word 1) (looking-at "\\<end\\>")))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9442 (save-excursion (backward-word 1) (looking-at "\\<shared\\>")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9443 (vhdl-insert-keyword "VARIABLE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9444 (vhdl-insert-keyword "SHARED VARIABLE ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9445 (when (vhdl-template-field "names" nil t start (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9446 (insert " : ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9447 (when in-arglist (vhdl-template-field "[IN | OUT | INOUT]" " " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9448 (vhdl-template-field "type")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9449 (if in-arglist
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9450 (progn (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9451 (vhdl-comment-insert-inline))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9452 (let ((position (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9453 (insert " := ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9454 (unless (vhdl-template-field "[initialization]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9455 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9456 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9457 (vhdl-comment-insert-inline))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9458
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9459 (defun vhdl-template-wait ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9460 "Insert a wait statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9461 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9462 (vhdl-insert-keyword "WAIT ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9463 (unless (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9464 "[ON sensitivity list] [UNTIL condition] [FOR time expression]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9465 nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9466 (delete-char -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9467 (insert ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9468
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9469 (defun vhdl-template-when ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9470 "Indent correctly if within a case statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9471 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9472 (let ((position (point))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9473 margin)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9474 (vhdl-prepare-search-2
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9475 (if (and (= (current-column) (current-indentation))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9476 (vhdl-re-search-forward "\\<end\\>" nil t)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9477 (looking-at "\\s-*\\<case\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9478 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9479 (setq margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9480 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9481 (delete-horizontal-space)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9482 (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9483 (goto-char position)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9484 (vhdl-insert-keyword "WHEN ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9485
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9486 (defun vhdl-template-while-loop ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9487 "Insert a while loop."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9488 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9489 (let* ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9490 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9491 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9492 (if (not (eq vhdl-optional-labels 'all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9493 (vhdl-insert-keyword "WHILE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9494 (vhdl-insert-keyword ": WHILE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9495 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9496 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9497 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9498 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9499 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9500 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9501 (when (vhdl-template-field "condition" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9502 (when vhdl-conditions-in-parenthesis (insert ")"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9503 (vhdl-insert-keyword " LOOP\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9504 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9505 (vhdl-insert-keyword "END LOOP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9506 (insert (if label (concat " " label ";") ";"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9507 (forward-line -1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9508 (indent-to (+ margin vhdl-basic-offset)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9509
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9510 (defun vhdl-template-with ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9511 "Insert a with statement (i.e. selected signal assignment)."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9512 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9513 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9514 (if (and (save-excursion (vhdl-re-search-backward "\\(\\<limit\\>\\|;\\)"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9515 (equal ";" (match-string 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9516 (vhdl-template-selected-signal-asst)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9517 (vhdl-insert-keyword "WITH "))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9518
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9519 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9520 ;; Special templates
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9521
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9522 (defun vhdl-template-clocked-wait ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9523 "Insert a wait statement for rising/falling clock edge."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9524 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9525 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9526 clock)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9527 (vhdl-insert-keyword "WAIT UNTIL ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9528 (when (setq clock
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9529 (or (and (not (equal "" vhdl-clock-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9530 (progn (insert vhdl-clock-name) vhdl-clock-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9531 (vhdl-template-field "clock name" nil t start (point))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9532 (insert "'event")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9533 (vhdl-insert-keyword " AND ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9534 (insert clock)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9535 (insert
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9536 " = " (if vhdl-clock-rising-edge vhdl-one-string vhdl-zero-string) ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9537 (vhdl-comment-insert-inline
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9538 (concat (if vhdl-clock-rising-edge "rising" "falling")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9539 " clock edge")))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9540
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9541 (defun vhdl-template-seq-process (clock reset)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9542 "Insert a template for the body of a sequential process."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9543 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9544 position)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9545 (vhdl-insert-keyword "IF ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9546 (when (eq vhdl-reset-kind 'async)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9547 (insert reset " = "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9548 (if vhdl-reset-active-high vhdl-one-string vhdl-zero-string))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9549 (vhdl-insert-keyword " THEN")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9550 (vhdl-comment-insert-inline
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9551 (concat "asynchronous reset (active "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9552 (if vhdl-reset-active-high "high" "low") ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9553 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9554 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9555 (insert "\n") (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9556 (vhdl-insert-keyword "ELSIF "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9557 (if (eq vhdl-clock-edge-condition 'function)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9558 (insert (if vhdl-clock-rising-edge "rising" "falling")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9559 "_edge(" clock ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9560 (insert clock "'event")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9561 (vhdl-insert-keyword " AND ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9562 (insert clock " = "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9563 (if vhdl-clock-rising-edge vhdl-one-string vhdl-zero-string)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9564 (vhdl-insert-keyword " THEN")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9565 (vhdl-comment-insert-inline
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9566 (concat (if vhdl-clock-rising-edge "rising" "falling") " clock edge"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9567 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9568 (when (eq vhdl-reset-kind 'sync)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9569 (vhdl-insert-keyword "IF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9570 (setq reset (or (and (not (equal "" vhdl-reset-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9571 (progn (insert vhdl-reset-name) vhdl-reset-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9572 (vhdl-template-field "reset name") "<reset>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9573 (insert " = "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9574 (if vhdl-reset-active-high vhdl-one-string vhdl-zero-string))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9575 (vhdl-insert-keyword " THEN")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9576 (vhdl-comment-insert-inline
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9577 (concat "synchronous reset (active "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9578 (if vhdl-reset-active-high "high" "low") ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9579 (insert "\n") (indent-to (+ margin (* 2 vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9580 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9581 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9582 (vhdl-insert-keyword "ELSE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9583 (insert "\n") (indent-to (+ margin (* 2 vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9584 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9585 (vhdl-insert-keyword "END IF;"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9586 (when (eq vhdl-reset-kind 'none)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9587 (setq position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9588 (insert "\n") (indent-to margin)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9589 (vhdl-insert-keyword "END IF;")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9590 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9591 reset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9592
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9593 (defun vhdl-template-standard-package (library package)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9594 "Insert specification of a standard package. Include a library
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9595 specification, if not already there."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9596 (let ((margin (current-indentation)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9597 (unless (equal library "std")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9598 (unless (or (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9599 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9600 (and (not (bobp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9601 (re-search-backward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9602 (concat "^\\s-*\\(\\(library\\)\\s-+\\(\\w+\\s-*,\\s-*\\)*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9603 library "\\|end\\)\\>") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9604 (match-string 2))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9605 (equal (downcase library) "work"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9606 (vhdl-insert-keyword "LIBRARY ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9607 (insert library ";\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9608 (indent-to margin))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9609 (vhdl-insert-keyword "USE ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9610 (insert library "." package)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9611 (vhdl-insert-keyword ".ALL;"))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9612
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9613 (defun vhdl-template-package-math-complex ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9614 "Insert specification of `math_complex' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9615 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9616 (vhdl-template-standard-package "ieee" "math_complex"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9617
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9618 (defun vhdl-template-package-math-real ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9619 "Insert specification of `math_real' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9620 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9621 (vhdl-template-standard-package "ieee" "math_real"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9622
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9623 (defun vhdl-template-package-numeric-bit ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9624 "Insert specification of `numeric_bit' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9625 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9626 (vhdl-template-standard-package "ieee" "numeric_bit"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9627
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9628 (defun vhdl-template-package-numeric-std ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9629 "Insert specification of `numeric_std' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9630 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9631 (vhdl-template-standard-package "ieee" "numeric_std"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9632
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9633 (defun vhdl-template-package-std-logic-1164 ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9634 "Insert specification of `std_logic_1164' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9635 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9636 (vhdl-template-standard-package "ieee" "std_logic_1164"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9637
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9638 (defun vhdl-template-package-std-logic-arith ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9639 "Insert specification of `std_logic_arith' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9640 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9641 (vhdl-template-standard-package "ieee" "std_logic_arith"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9642
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9643 (defun vhdl-template-package-std-logic-misc ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9644 "Insert specification of `std_logic_misc' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9645 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9646 (vhdl-template-standard-package "ieee" "std_logic_misc"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9647
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9648 (defun vhdl-template-package-std-logic-signed ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9649 "Insert specification of `std_logic_signed' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9650 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9651 (vhdl-template-standard-package "ieee" "std_logic_signed"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9652
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9653 (defun vhdl-template-package-std-logic-textio ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9654 "Insert specification of `std_logic_textio' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9655 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9656 (vhdl-template-standard-package "ieee" "std_logic_textio"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9657
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9658 (defun vhdl-template-package-std-logic-unsigned ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9659 "Insert specification of `std_logic_unsigned' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9660 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9661 (vhdl-template-standard-package "ieee" "std_logic_unsigned"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9662
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9663 (defun vhdl-template-package-textio ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9664 "Insert specification of `textio' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9665 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9666 (vhdl-template-standard-package "std" "textio"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9667
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9668 (defun vhdl-template-directive (directive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9669 "Insert directive."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9670 (unless (= (current-indentation) (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9671 (delete-horizontal-space)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9672 (insert " "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9673 (insert "-- pragma " directive))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9674
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9675 (defun vhdl-template-directive-translate-on ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9676 "Insert directive 'translate_on'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9677 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9678 (vhdl-template-directive "translate_on"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9679
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9680 (defun vhdl-template-directive-translate-off ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9681 "Insert directive 'translate_off'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9682 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9683 (vhdl-template-directive "translate_off"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9684
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9685 (defun vhdl-template-directive-synthesis-on ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9686 "Insert directive 'synthesis_on'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9687 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9688 (vhdl-template-directive "synthesis_on"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9689
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9690 (defun vhdl-template-directive-synthesis-off ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9691 "Insert directive 'synthesis_off'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9692 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9693 (vhdl-template-directive "synthesis_off"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9694
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9695 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9696 ;; Header and footer templates
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9697
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9698 (defun vhdl-template-header (&optional file-title)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9699 "Insert a VHDL file header."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9700 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9701 (unless (equal vhdl-file-header "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9702 (let (pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9703 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9704 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9705 (vhdl-insert-string-or-file vhdl-file-header)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9706 (setq pos (point-marker)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9707 (vhdl-template-replace-header-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9708 (point-min-marker) pos file-title))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9709
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9710 (defun vhdl-template-footer ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9711 "Insert a VHDL file footer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9712 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9713 (unless (equal vhdl-file-footer "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9714 (let (pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9715 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9716 (goto-char (point-max))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9717 (setq pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9718 (vhdl-insert-string-or-file vhdl-file-footer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9719 (unless (= (preceding-char) ?\n)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9720 (insert "\n")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9721 (vhdl-template-replace-header-keywords pos (point-max-marker)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9722
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9723 (defun vhdl-template-replace-header-keywords (beg end &optional file-title
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9724 is-model)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9725 "Replace keywords in header and footer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9726 (let ((project-title (or (nth 0 (aget vhdl-project-alist vhdl-project)) ""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9727 (project-desc (or (nth 9 (aget vhdl-project-alist vhdl-project)) ""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9728 pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9729 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9730 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9731 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9732 (while (search-forward "<projectdesc>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9733 (replace-match project-desc t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9734 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9735 (while (search-forward "<filename>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9736 (replace-match (buffer-name) t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9737 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9738 (while (search-forward "<copyright>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9739 (replace-match vhdl-copyright-string t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9740 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9741 (while (search-forward "<author>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9742 (replace-match "" t t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9743 (insert (user-full-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9744 (when user-mail-address (insert " <" user-mail-address ">")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9745 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9746 (while (search-forward "<login>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9747 (replace-match (user-login-name) t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9748 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9749 (while (search-forward "<project>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9750 (replace-match project-title t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9751 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9752 (while (search-forward "<company>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9753 (replace-match vhdl-company-name t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9754 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9755 (while (search-forward "<platform>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9756 (replace-match vhdl-platform-spec t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9757 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9758 (while (search-forward "<standard>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9759 (replace-match
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9760 (concat "VHDL" (cond ((vhdl-standard-p '87) "'87")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9761 ((vhdl-standard-p '93) "'93"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9762 (when (vhdl-standard-p 'ams) ", VHDL-AMS")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9763 (when (vhdl-standard-p 'math) ", Math Packages")) t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9764 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9765 ;; Replace <RCS> with $, so that RCS for the source is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9766 ;; not over-enthusiastic with replacements
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9767 (while (search-forward "<RCS>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9768 (replace-match "$" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9769 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9770 (while (search-forward "<date>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9771 (replace-match "" t t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9772 (vhdl-template-insert-date))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9773 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9774 (while (search-forward "<year>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9775 (replace-match (format-time-string "%Y" nil) t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9776 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9777 (when file-title
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9778 (while (search-forward "<title string>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9779 (replace-match file-title t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9780 (goto-char beg))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9781 (let (string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9782 (while
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9783 (re-search-forward "<\\(\\(\\w\\|\\s_\\)*\\) string>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9784 (setq string (read-string (concat (match-string 1) ": ")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9785 (replace-match string t t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9786 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9787 (when (and (not is-model) (search-forward "<cursor>" end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9788 (replace-match "" t t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9789 (setq pos (point))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9790 (when pos (goto-char pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9791 (unless is-model
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9792 (when (or (not project-title) (equal project-title ""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9793 (message "You can specify a project title in user option `vhdl-project-alist'"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9794 (when (or (not project-desc) (equal project-desc ""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9795 (message "You can specify a project description in user option `vhdl-project-alist'"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9796 (when (equal vhdl-platform-spec "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9797 (message "You can specify a platform in user option `vhdl-platform-spec'"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9798 (when (equal vhdl-company-name "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9799 (message "You can specify a company name in user option `vhdl-company-name'"))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9800
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9801 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9802 ;; Comment templates and functions
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9803
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9804 (defun vhdl-comment-indent ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9805 "Indent comments."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9806 (let* ((position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9807 (col
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9808 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9809 (forward-line -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9810 (if (re-search-forward "--" position t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9811 (- (current-column) 2) ; existing comment at bol stays there
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9812 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9813 (skip-chars-backward " \t")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9814 (max comment-column ; else indent to comment column
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9815 (1+ (current-column))))))) ; except leave at least one space
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9816 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9817 col))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9818
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9819 (defun vhdl-comment-insert ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9820 "Start a comment at the end of the line.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9821 If on line with code, indent at least `comment-column'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9822 If starting after end-comment-column, start a new line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9823 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9824 (when (> (current-column) end-comment-column) (newline-and-indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9825 (if (or (looking-at "\\s-*$") ; end of line
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9826 (and (not unread-command-events) ; called with key binding or menu
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9827 (not (end-of-line))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9828 (let (margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9829 (while (= (preceding-char) ?-) (delete-char -1))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9830 (setq margin (current-column))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9831 (delete-horizontal-space)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9832 (if (bolp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9833 (progn (indent-to margin) (insert "--"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9834 (insert " ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9835 (indent-to comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9836 (insert "--"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9837 (if (not unread-command-events) (insert " ")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9838 ;; else code following current point implies commenting out code
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9839 (let (next-input code)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9840 (while (= (preceding-char) ?-) (delete-char -2))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9841 (while (= (setq next-input (read-char)) 13) ; CR
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9842 (insert "--") ; or have a space after it?
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9843 (forward-char -2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9844 (forward-line 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9845 (message "Enter CR if commenting out a line of code.")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9846 (setq code t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9847 (unless code
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9848 (insert "--")) ; hardwire to 1 space or use vhdl-basic-offset?
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9849 (setq unread-command-events
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9850 (list (vhdl-character-to-event next-input)))))) ; pushback the char
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9851
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9852 (defun vhdl-comment-display (&optional line-exists)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9853 "Add 2 comment lines at the current indent, making a display comment."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9854 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9855 (let ((margin (current-indentation)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9856 (unless line-exists (vhdl-comment-display-line))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9857 (insert "\n") (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9858 (insert "\n") (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9859 (vhdl-comment-display-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9860 (end-of-line -0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9861 (insert "-- ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9862
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9863 (defun vhdl-comment-display-line ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9864 "Displays one line of dashes."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9865 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9866 (while (= (preceding-char) ?-) (delete-char -2))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9867 (let* ((col (current-column))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9868 (len (- end-comment-column col)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9869 (insert-char ?- len)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9870
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9871 (defun vhdl-comment-append-inline ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9872 "Append empty inline comment to current line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9873 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9874 (end-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9875 (delete-horizontal-space)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9876 (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9877 (indent-to comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9878 (insert "-- "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9879
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9880 (defun vhdl-comment-insert-inline (&optional string always-insert)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9881 "Insert inline comment."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9882 (when (or (and string (or vhdl-self-insert-comments always-insert))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9883 (and (not string) vhdl-prompt-for-comments))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9884 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9885 (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9886 (indent-to comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9887 (insert "-- ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9888 (if (not (or (and string (progn (insert string) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9889 (vhdl-template-field "[comment]" nil t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9890 (delete-region position (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9891 (while (= (preceding-char) ? ) (delete-backward-char 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9892 ; (when (> (current-column) end-comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9893 ; (setq position (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9894 ; (re-search-backward "-- ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9895 ; (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9896 ; (indent-to comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9897 ; (goto-char position))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9898 ))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9899
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9900 (defun vhdl-comment-block ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9901 "Insert comment for code block."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9902 (when vhdl-prompt-for-comments
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9903 (let ((final-pos (point-marker)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9904 (vhdl-prepare-search-2
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9905 (when (and (re-search-backward "^\\s-*begin\\>" nil t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9906 (re-search-backward "\\<\\(architecture\\|block\\|function\\|procedure\\|process\\|procedural\\)\\>" nil t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9907 (let (margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9908 (back-to-indentation)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9909 (setq margin (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9910 (end-of-line -0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9911 (if (bobp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9912 (progn (insert "\n") (forward-line -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9913 (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9914 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9915 (insert "-- purpose: ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9916 (unless (vhdl-template-field "[description]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9917 (vhdl-line-kill-entire)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9918 (goto-char final-pos))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9919
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9920 (defun vhdl-comment-uncomment-region (beg end &optional arg)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9921 "Comment out region if not commented out, uncomment otherwise."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9922 (interactive "r\nP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9923 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9924 (goto-char (1- end))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9925 (end-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9926 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9927 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9928 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9929 (setq beg (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9930 (if (looking-at comment-start)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9931 (comment-region beg end '(4))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9932 (comment-region beg end))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9933
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9934 (defun vhdl-comment-uncomment-line (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9935 "Comment out line if not commented out, uncomment otherwise."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9936 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9937 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9938 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9939 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9940 (forward-line (or arg 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9941 (vhdl-comment-uncomment-region position (point)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9942
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9943 (defun vhdl-comment-kill-region (beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9944 "Kill comments in region."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9945 (interactive "r")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9946 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9947 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9948 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9949 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9950 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9951 (while (< (point) end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9952 (if (looking-at "^\\(\\s-*--.*\n\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9953 (progn (delete-region (match-beginning 1) (match-end 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9954 (beginning-of-line 2)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9955
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9956 (defun vhdl-comment-kill-inline-region (beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9957 "Kill inline comments in region."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9958 (interactive "r")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9959 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9960 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9961 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9962 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9963 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9964 (while (< (point) end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9965 (when (looking-at "^.*[^ \t\n-]+\\(\\s-*--.*\\)$")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9966 (delete-region (match-beginning 1) (match-end 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9967 (beginning-of-line 2))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9968
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9969 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9970 ;; Subtemplates
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9971
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9972 (defun vhdl-template-begin-end (construct name margin &optional empty-lines)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9973 "Insert a begin ... end pair with optional name after the end.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9974 Point is left between them."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9975 (let (position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9976 (when (or empty-lines (eq vhdl-insert-empty-lines 'all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9977 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9978 (vhdl-insert-keyword "BEGIN")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9979 (when (and (or construct name) vhdl-self-insert-comments)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9980 (insert " --")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9981 (when construct (insert " ") (vhdl-insert-keyword construct))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9982 (when name (insert " " name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9983 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9984 (when (or empty-lines (eq vhdl-insert-empty-lines 'all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9985 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9986 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9987 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9988 (when (or empty-lines (eq vhdl-insert-empty-lines 'all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9989 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9990 (vhdl-insert-keyword "END")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9991 (when construct (insert " ") (vhdl-insert-keyword construct))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9992 (insert (if name (concat " " name) "") ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9993 (goto-char position)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9994
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9995 (defun vhdl-template-argument-list (&optional is-function)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9996 "Read from user a procedure or function argument list."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9997 (insert " (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9998 (let ((margin (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9999 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10000 (end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10001 not-empty interface semicolon-pos)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10002 (unless vhdl-argument-list-indent
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10003 (setq margin (+ (current-indentation) vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10004 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10005 (indent-to margin))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10006 (setq interface (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10007 (concat "[CONSTANT | SIGNAL"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10008 (unless is-function " | VARIABLE") "]") " " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10009 (while (vhdl-template-field "[names]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10010 (setq not-empty t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10011 (insert " : ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10012 (unless is-function
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10013 (if (and interface (equal (upcase interface) "CONSTANT"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10014 (vhdl-insert-keyword "IN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10015 (vhdl-template-field "[IN | OUT | INOUT]" " " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10016 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10017 (setq semicolon-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10018 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10019 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10020 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10021 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10022 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10023 (setq interface (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10024 (concat "[CONSTANT | SIGNAL"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10025 (unless is-function " | VARIABLE") "]") " " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10026 (delete-region end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10027 (when semicolon-pos (goto-char semicolon-pos))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10028 (if not-empty
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10029 (progn (delete-char 1) (insert ")"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10030 (delete-backward-char 2))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10031
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10032 (defun vhdl-template-generic-list (optional &optional no-value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10033 "Read from user a generic spec argument list."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10034 (let (margin
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10035 (start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10036 (vhdl-insert-keyword "GENERIC (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10037 (setq margin (current-column))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10038 (unless vhdl-argument-list-indent
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10039 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10040 (back-to-indentation)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10041 (setq margin (+ (current-column) vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10042 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10043 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10044 (indent-to margin)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10045 (let ((vhdl-generics (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10046 (concat (and optional "[") "name"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10047 (and no-value "s") (and optional "]"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10048 nil optional)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10049 (if (not vhdl-generics)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10050 (if optional
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10051 (progn (vhdl-line-kill-entire) (end-of-line -0)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10052 (unless vhdl-argument-list-indent
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10053 (vhdl-line-kill-entire) (end-of-line -0)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10054 (vhdl-template-undo start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10055 nil )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10056 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10057 (let (semicolon-pos end-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10058 (while vhdl-generics
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10059 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10060 (if no-value
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10061 (progn (setq semicolon-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10062 (insert ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10063 (insert " := ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10064 (unless (vhdl-template-field "[value]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10065 (delete-char -4))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10066 (setq semicolon-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10067 (insert ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10068 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10069 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10070 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10071 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10072 (setq vhdl-generics (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10073 (concat "[name" (and no-value "s") "]")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10074 " : " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10075 (delete-region end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10076 (goto-char semicolon-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10077 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10078 (end-of-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10079 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10080 t)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10081
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10082 (defun vhdl-template-port-list (optional)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10083 "Read from user a port spec argument list."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10084 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10085 margin vhdl-ports object)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10086 (vhdl-insert-keyword "PORT (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10087 (setq margin (current-column))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10088 (unless vhdl-argument-list-indent
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10089 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10090 (back-to-indentation)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10091 (setq margin (+ (current-column) vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10092 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10093 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10094 (indent-to margin)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10095 (when (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10096 (setq object (vhdl-template-field "[SIGNAL | TERMINAL | QUANTITY]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10097 " " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10098 (setq vhdl-ports (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10099 (concat (and optional "[") "names" (and optional "]"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10100 nil optional))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10101 (if (not vhdl-ports)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10102 (if optional
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10103 (progn (vhdl-line-kill-entire) (end-of-line -0)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10104 (unless vhdl-argument-list-indent
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10105 (vhdl-line-kill-entire) (end-of-line -0)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10106 (vhdl-template-undo start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10107 nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10108 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10109 (let (semicolon-pos end-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10110 (while vhdl-ports
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10111 (cond ((or (null object) (equal "SIGNAL" (upcase object)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10112 (vhdl-template-field "IN | OUT | INOUT" " "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10113 ((equal "QUANTITY" (upcase object))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10114 (vhdl-template-field "[IN | OUT]" " " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10115 (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10116 (if (and object (equal "TERMINAL" (upcase object)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10117 "nature" "type"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10118 (setq semicolon-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10119 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10120 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10121 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10122 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10123 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10124 (when (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10125 (setq object (vhdl-template-field "[SIGNAL | TERMINAL | QUANTITY]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10126 " " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10127 (setq vhdl-ports (vhdl-template-field "[names]" " : " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10128 (delete-region end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10129 (goto-char semicolon-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10130 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10131 (end-of-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10132 (when vhdl-auto-align (vhdl-align-region-groups start end-pos 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10133 t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10134
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10135 (defun vhdl-template-generate-body (margin label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10136 "Insert body for generate template."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10137 (vhdl-insert-keyword " GENERATE")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10138 ; (if (not (vhdl-standard-p '87))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10139 ; (vhdl-template-begin-end "GENERATE" label margin)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10140 (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10141 (indent-to margin)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10142 (vhdl-insert-keyword "END GENERATE ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10143 (insert label ";")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10144 (end-of-line 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10145 (indent-to (+ margin vhdl-basic-offset)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10146
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10147 (defun vhdl-template-insert-date ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10148 "Insert date in appropriate format."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10149 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10150 (insert
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10151 (cond
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10152 ;; 'american, 'european, 'scientific kept for backward compatibility
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10153 ((eq vhdl-date-format 'american) (format-time-string "%m/%d/%Y" nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10154 ((eq vhdl-date-format 'european) (format-time-string "%d.%m.%Y" nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10155 ((eq vhdl-date-format 'scientific) (format-time-string "%Y/%m/%d" nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10156 (t (format-time-string vhdl-date-format nil)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10157
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10158 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10159 ;; Help functions
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10160
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10161 (defun vhdl-electric-space (count)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10162 "Expand abbreviations and self-insert space(s), do indent-new-comment-line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10163 if in comment and past end-comment-column."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10164 (interactive "p")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10165 (cond ((vhdl-in-comment-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10166 (self-insert-command count)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10167 (cond ((>= (current-column) (+ 2 end-comment-column))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10168 (backward-char 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10169 (skip-chars-backward "^ \t\n")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10170 (indent-new-comment-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10171 (skip-chars-forward "^ \t\n")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10172 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10173 ((>= (current-column) end-comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10174 (indent-new-comment-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10175 (t nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10176 ((or (and (>= (preceding-char) ?a) (<= (preceding-char) ?z))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10177 (and (>= (preceding-char) ?A) (<= (preceding-char) ?Z)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10178 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10179 (or (expand-abbrev) (vhdl-fix-case-word -1)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10180 (self-insert-command count))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10181 (t (self-insert-command count))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10182
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10183 (defun vhdl-template-field (prompt &optional follow-string optional
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10184 begin end is-string default)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10185 "Prompt for string and insert it in buffer with optional FOLLOW-STRING.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10186 If OPTIONAL is nil, the prompt is left if an empty string is inserted. If
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10187 an empty string is inserted, return nil and call `vhdl-template-undo' for
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10188 the region between BEGIN and END. IS-STRING indicates whether a string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10189 with double-quotes is to be inserted. DEFAULT specifies a default string."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10190 (let ((position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10191 string)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10192 (insert "<" prompt ">")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10193 (setq string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10194 (condition-case ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10195 (read-from-minibuffer (concat prompt ": ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10196 (or (and is-string '("\"\"" . 2)) default)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10197 vhdl-minibuffer-local-map)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10198 (quit (if (and optional begin end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10199 (progn (beep) "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10200 (keyboard-quit)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10201 (when (or (not (equal string "")) optional)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10202 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10203 (when (and (equal string "") optional begin end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10204 (vhdl-template-undo begin end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10205 (message "Template aborted"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10206 (unless (equal string "")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10207 (insert string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10208 (vhdl-fix-case-region-1 position (point) vhdl-upper-case-keywords
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10209 vhdl-keywords-regexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10210 (vhdl-fix-case-region-1 position (point) vhdl-upper-case-types
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10211 vhdl-types-regexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10212 (vhdl-fix-case-region-1 position (point) vhdl-upper-case-attributes
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10213 (concat "'" vhdl-attributes-regexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10214 (vhdl-fix-case-region-1 position (point) vhdl-upper-case-enum-values
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10215 vhdl-enum-values-regexp))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10216 (when (or (not (equal string "")) (not optional))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10217 (insert (or follow-string "")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10218 (if (equal string "") nil string)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10219
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10220 (defun vhdl-decision-query (string prompt &optional optional)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10221 "Query a decision from the user."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10222 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10223 (when string (vhdl-insert-keyword (concat string " ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10224 (message prompt)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10225 (let ((char (read-char)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10226 (delete-region start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10227 (if (and optional (eq char ?\r))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10228 (progn (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10229 (unexpand-abbrev)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10230 (throw 'abort "ERROR: Template aborted"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10231 char))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10232
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10233 (defun vhdl-insert-keyword (keyword)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10234 "Insert KEYWORD and adjust case."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10235 (insert (if vhdl-upper-case-keywords (upcase keyword) (downcase keyword))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10236
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10237 (defun vhdl-case-keyword (keyword)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10238 "Adjust case of KEYWORD."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10239 (if vhdl-upper-case-keywords (upcase keyword) (downcase keyword)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10240
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10241 (defun vhdl-case-word (num)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10242 "Adjust case or following NUM words."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10243 (if vhdl-upper-case-keywords (upcase-word num) (downcase-word num)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10244
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10245 (defun vhdl-minibuffer-tab (&optional prefix-arg)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10246 "If preceeding character is part of a word or a paren then hippie-expand,
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10247 else insert tab (used for word completion in VHDL minibuffer)."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10248 (interactive "P")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10249 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10250 ;; expand word
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10251 ((= (char-syntax (preceding-char)) ?w)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10252 (let ((case-fold-search (not vhdl-word-completion-case-sensitive))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10253 (case-replace nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10254 (hippie-expand-only-buffers
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10255 (or (and (boundp 'hippie-expand-only-buffers)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10256 hippie-expand-only-buffers)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10257 '(vhdl-mode))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10258 (vhdl-expand-abbrev prefix-arg)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10259 ;; expand parenthesis
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10260 ((or (= (preceding-char) ?\() (= (preceding-char) ?\)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10261 (let ((case-fold-search (not vhdl-word-completion-case-sensitive))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10262 (case-replace nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10263 (vhdl-expand-paren prefix-arg)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10264 ;; insert tab
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10265 (t (insert-tab))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10266
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10267 (defun vhdl-template-search-prompt ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10268 "Search for left out template prompts and query again."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10269 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10270 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10271 (when (or (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10272 (concat "<\\(" vhdl-template-prompt-syntax "\\)>") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10273 (re-search-backward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10274 (concat "<\\(" vhdl-template-prompt-syntax "\\)>") nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10275 (let ((string (match-string 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10276 (replace-match "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10277 (vhdl-template-field string)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10278
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10279 (defun vhdl-template-undo (begin end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10280 "Undo aborted template by deleting region and unexpanding the keyword."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10281 (cond (vhdl-template-invoked-by-hook
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10282 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10283 (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10284 (delete-region begin end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10285 (unexpand-abbrev))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10286 (t (delete-region begin end))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10287
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10288 (defun vhdl-insert-string-or-file (string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10289 "Insert STRING or file contents if STRING is an existing file name."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10290 (unless (equal string "")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10291 (let ((file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10292 (progn (string-match "^\\([^\n]+\\)" string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10293 (vhdl-resolve-env-variable (match-string 1 string)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10294 (if (file-exists-p file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10295 (forward-char (cadr (insert-file-contents file-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10296 (insert string)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10297
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10298 (defun vhdl-beginning-of-block ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10299 "Move cursor to the beginning of the enclosing block."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10300 (let (pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10301 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10302 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10303 ;; search backward for block beginning or end
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10304 (while (or (while (and (setq pos (re-search-backward "^\\s-*\\(\\(end\\)\\|\\(\\(impure\\|pure\\)[ \t\n]+\\)?\\(function\\|procedure\\)\\|\\(for\\)\\|\\(architecture\\|component\\|configuration\\|entity\\|package\\|record\\|units\\)\\|\\(\\w+[ \t\n]*:[ \t\n]*\\)?\\(postponed[ \t\n]+\\)?\\(block\\|case\\|for\\|if\\|procedural\\|process\\|while\\)\\)\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10305 ;; not consider subprogram declarations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10306 (or (and (match-string 5)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10307 (save-match-data
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10308 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10309 (goto-char (match-end 5))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10310 (forward-word 1) (forward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10311 (re-search-forward "\\<is\\>\\|\\(;\\)" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10312 (match-string 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10313 ;; not consider configuration specifications
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10314 (and (match-string 6)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10315 (save-match-data
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10316 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10317 (vhdl-end-of-block)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10318 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10319 (not (looking-at "^\\s-*end\\s-+\\(for\\|generate\\|loop\\)\\>"))))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10320 (match-string 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10321 ;; skip subblock if block end found
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10322 (vhdl-beginning-of-block)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10323 (when pos (goto-char pos))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10324
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10325 (defun vhdl-end-of-block ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10326 "Move cursor to the end of the enclosing block."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10327 (let (pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10328 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10329 (end-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10330 ;; search forward for block beginning or end
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10331 (while (or (while (and (setq pos (re-search-forward "^\\s-*\\(\\(end\\)\\|\\(\\(impure\\|pure\\)[ \t\n]+\\)?\\(function\\|procedure\\)\\|\\(for\\)\\|\\(architecture\\|component\\|configuration\\|entity\\|package\\|record\\|units\\)\\|\\(\\w+[ \t\n]*:[ \t\n]*\\)?\\(postponed[ \t\n]+\\)?\\(block\\|case\\|for\\|if\\|procedural\\|process\\|while\\)\\)\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10332 ;; not consider subprogram declarations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10333 (or (and (match-string 5)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10334 (save-match-data
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10335 (save-excursion (re-search-forward "\\<is\\>\\|\\(;\\)" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10336 (match-string 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10337 ;; not consider configuration specifications
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10338 (and (match-string 6)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10339 (save-match-data
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10340 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10341 (vhdl-end-of-block)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10342 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10343 (not (looking-at "^\\s-*end\\s-+\\(for\\|generate\\|loop\\)\\>"))))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10344 (not (match-string 2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10345 ;; skip subblock if block beginning found
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10346 (vhdl-end-of-block)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10347 (when pos (goto-char pos))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10348
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10349 (defun vhdl-sequential-statement-p ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10350 "Check if point is within sequential statement part."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10351 (let ((start (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10352 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10353 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10354 ;; is sequential statement if ...
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10355 (and (re-search-backward "^\\s-*begin\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10356 ;; ... point is between "begin" and "end" of ...
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10357 (progn (vhdl-end-of-block)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10358 (< start (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10359 ;; ... a sequential block
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10360 (progn (vhdl-beginning-of-block)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10361 (looking-at "^\\s-*\\(\\(\\w+[ \t\n]+\\)?\\(function\\|procedure\\)\\|\\(\\w+[ \t\n]*:[ \t\n]*\\)?\\(\\w+[ \t\n]+\\)?\\(procedural\\|process\\)\\)\\>")))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10362
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10363 (defun vhdl-in-argument-list-p ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10364 "Check if within an argument list."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10365 (save-excursion
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10366 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10367 (or (string-match "arglist"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10368 (format "%s" (caar (vhdl-get-syntactic-context))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10369 (progn (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10370 (looking-at "^\\s-*\\(generic\\|port\\|\\(\\(impure\\|pure\\)\\s-+\\|\\)function\\|procedure\\)\\>\\s-*\\(\\w+\\s-*\\)?("))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10371
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10372 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10373 ;; Abbrev hooks
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10374
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10375 (defun vhdl-hooked-abbrev (func)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10376 "Do function, if syntax says abbrev is a keyword, invoked by hooked abbrev,
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10377 but not if inside a comment or quote)."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10378 (if (or (vhdl-in-literal)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10379 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10380 (forward-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10381 (and (looking-at "\\<end\\>") (not (looking-at "\\<end;")))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10382 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10383 (insert " ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10384 (unexpand-abbrev)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10385 (delete-char -1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10386 (if (not vhdl-electric-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10387 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10388 (insert " ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10389 (unexpand-abbrev)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10390 (backward-word 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10391 (vhdl-case-word 1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10392 (delete-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10393 (let ((invoke-char last-command-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10394 (abbrev-mode -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10395 (vhdl-template-invoked-by-hook t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10396 (let ((caught (catch 'abort
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10397 (funcall func))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10398 (when (stringp caught) (message caught)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10399 (when (= invoke-char ?-) (setq abbrev-start-location (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10400 ;; delete CR which is still in event queue
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10401 (if vhdl-xemacs
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10402 (enqueue-eval-event 'delete-char -1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10403 (setq unread-command-events ; push back a delete char
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10404 (list (vhdl-character-to-event ?\177))))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10405
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10406 (defun vhdl-template-alias-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10407 (vhdl-hooked-abbrev 'vhdl-template-alias))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10408 (defun vhdl-template-architecture-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10409 (vhdl-hooked-abbrev 'vhdl-template-architecture))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10410 (defun vhdl-template-assert-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10411 (vhdl-hooked-abbrev 'vhdl-template-assert))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10412 (defun vhdl-template-attribute-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10413 (vhdl-hooked-abbrev 'vhdl-template-attribute))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10414 (defun vhdl-template-block-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10415 (vhdl-hooked-abbrev 'vhdl-template-block))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10416 (defun vhdl-template-break-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10417 (vhdl-hooked-abbrev 'vhdl-template-break))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10418 (defun vhdl-template-case-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10419 (vhdl-hooked-abbrev 'vhdl-template-case))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10420 (defun vhdl-template-component-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10421 (vhdl-hooked-abbrev 'vhdl-template-component))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10422 (defun vhdl-template-instance-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10423 (vhdl-hooked-abbrev 'vhdl-template-instance))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10424 (defun vhdl-template-conditional-signal-asst-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10425 (vhdl-hooked-abbrev 'vhdl-template-conditional-signal-asst))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10426 (defun vhdl-template-configuration-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10427 (vhdl-hooked-abbrev 'vhdl-template-configuration))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10428 (defun vhdl-template-constant-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10429 (vhdl-hooked-abbrev 'vhdl-template-constant))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10430 (defun vhdl-template-disconnect-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10431 (vhdl-hooked-abbrev 'vhdl-template-disconnect))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10432 (defun vhdl-template-display-comment-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10433 (vhdl-hooked-abbrev 'vhdl-comment-display))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10434 (defun vhdl-template-else-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10435 (vhdl-hooked-abbrev 'vhdl-template-else))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10436 (defun vhdl-template-elsif-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10437 (vhdl-hooked-abbrev 'vhdl-template-elsif))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10438 (defun vhdl-template-entity-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10439 (vhdl-hooked-abbrev 'vhdl-template-entity))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10440 (defun vhdl-template-exit-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10441 (vhdl-hooked-abbrev 'vhdl-template-exit))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10442 (defun vhdl-template-file-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10443 (vhdl-hooked-abbrev 'vhdl-template-file))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10444 (defun vhdl-template-for-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10445 (vhdl-hooked-abbrev 'vhdl-template-for))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10446 (defun vhdl-template-function-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10447 (vhdl-hooked-abbrev 'vhdl-template-function))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10448 (defun vhdl-template-generic-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10449 (vhdl-hooked-abbrev 'vhdl-template-generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10450 (defun vhdl-template-group-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10451 (vhdl-hooked-abbrev 'vhdl-template-group))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10452 (defun vhdl-template-library-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10453 (vhdl-hooked-abbrev 'vhdl-template-library))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10454 (defun vhdl-template-limit-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10455 (vhdl-hooked-abbrev 'vhdl-template-limit))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10456 (defun vhdl-template-if-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10457 (vhdl-hooked-abbrev 'vhdl-template-if))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10458 (defun vhdl-template-bare-loop-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10459 (vhdl-hooked-abbrev 'vhdl-template-bare-loop))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10460 (defun vhdl-template-map-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10461 (vhdl-hooked-abbrev 'vhdl-template-map))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10462 (defun vhdl-template-nature-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10463 (vhdl-hooked-abbrev 'vhdl-template-nature))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10464 (defun vhdl-template-next-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10465 (vhdl-hooked-abbrev 'vhdl-template-next))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10466 (defun vhdl-template-others-hook ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10467 (vhdl-hooked-abbrev 'vhdl-template-others))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10468 (defun vhdl-template-package-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10469 (vhdl-hooked-abbrev 'vhdl-template-package))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10470 (defun vhdl-template-port-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10471 (vhdl-hooked-abbrev 'vhdl-template-port))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10472 (defun vhdl-template-procedural-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10473 (vhdl-hooked-abbrev 'vhdl-template-procedural))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10474 (defun vhdl-template-procedure-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10475 (vhdl-hooked-abbrev 'vhdl-template-procedure))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10476 (defun vhdl-template-process-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10477 (vhdl-hooked-abbrev 'vhdl-template-process))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10478 (defun vhdl-template-quantity-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10479 (vhdl-hooked-abbrev 'vhdl-template-quantity))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10480 (defun vhdl-template-report-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10481 (vhdl-hooked-abbrev 'vhdl-template-report))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10482 (defun vhdl-template-return-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10483 (vhdl-hooked-abbrev 'vhdl-template-return))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10484 (defun vhdl-template-selected-signal-asst-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10485 (vhdl-hooked-abbrev 'vhdl-template-selected-signal-asst))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10486 (defun vhdl-template-signal-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10487 (vhdl-hooked-abbrev 'vhdl-template-signal))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10488 (defun vhdl-template-subnature-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10489 (vhdl-hooked-abbrev 'vhdl-template-subnature))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10490 (defun vhdl-template-subtype-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10491 (vhdl-hooked-abbrev 'vhdl-template-subtype))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10492 (defun vhdl-template-terminal-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10493 (vhdl-hooked-abbrev 'vhdl-template-terminal))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10494 (defun vhdl-template-type-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10495 (vhdl-hooked-abbrev 'vhdl-template-type))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10496 (defun vhdl-template-use-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10497 (vhdl-hooked-abbrev 'vhdl-template-use))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10498 (defun vhdl-template-variable-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10499 (vhdl-hooked-abbrev 'vhdl-template-variable))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10500 (defun vhdl-template-wait-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10501 (vhdl-hooked-abbrev 'vhdl-template-wait))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10502 (defun vhdl-template-when-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10503 (vhdl-hooked-abbrev 'vhdl-template-when))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10504 (defun vhdl-template-while-loop-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10505 (vhdl-hooked-abbrev 'vhdl-template-while-loop))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10506 (defun vhdl-template-with-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10507 (vhdl-hooked-abbrev 'vhdl-template-with))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10508 (defun vhdl-template-and-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10509 (vhdl-hooked-abbrev 'vhdl-template-and))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10510 (defun vhdl-template-or-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10511 (vhdl-hooked-abbrev 'vhdl-template-or))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10512 (defun vhdl-template-nand-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10513 (vhdl-hooked-abbrev 'vhdl-template-nand))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10514 (defun vhdl-template-nor-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10515 (vhdl-hooked-abbrev 'vhdl-template-nor))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10516 (defun vhdl-template-xor-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10517 (vhdl-hooked-abbrev 'vhdl-template-xor))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10518 (defun vhdl-template-xnor-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10519 (vhdl-hooked-abbrev 'vhdl-template-xnor))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10520 (defun vhdl-template-not-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10521 (vhdl-hooked-abbrev 'vhdl-template-not))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10522
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10523 (defun vhdl-template-default-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10524 (vhdl-hooked-abbrev 'vhdl-template-default))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10525 (defun vhdl-template-default-indent-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10526 (vhdl-hooked-abbrev 'vhdl-template-default-indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10527
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10528 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10529 ;; Template insertion from completion list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10530
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10531 (defun vhdl-template-insert-construct (name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10532 "Insert the built-in construct template with NAME."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10533 (interactive
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10534 (list (let ((completion-ignore-case t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10535 (completing-read "Construct name: "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10536 vhdl-template-construct-alist nil t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10537 (vhdl-template-insert-fun
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10538 (cadr (assoc name vhdl-template-construct-alist))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10539
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10540 (defun vhdl-template-insert-package (name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10541 "Insert the built-in package template with NAME."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10542 (interactive
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10543 (list (let ((completion-ignore-case t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10544 (completing-read "Package name: "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10545 vhdl-template-package-alist nil t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10546 (vhdl-template-insert-fun
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10547 (cadr (assoc name vhdl-template-package-alist))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10548
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10549 (defun vhdl-template-insert-directive (name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10550 "Insert the built-in directive template with NAME."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10551 (interactive
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10552 (list (let ((completion-ignore-case t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10553 (completing-read "Directive name: "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10554 vhdl-template-directive-alist nil t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10555 (vhdl-template-insert-fun
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10556 (cadr (assoc name vhdl-template-directive-alist))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10557
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10558 (defun vhdl-template-insert-fun (fun)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10559 "Call FUN to insert a built-in template."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10560 (let ((caught (catch 'abort (when fun (funcall fun)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10561 (when (stringp caught) (message caught))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10562
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10563
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10564 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10565 ;;; Models
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10566 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10567
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10568 (defun vhdl-model-insert (model-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10569 "Insert the user model with name MODEL-NAME."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10570 (interactive
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10571 (let ((completion-ignore-case t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10572 (list (completing-read "Model name: " vhdl-model-alist))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10573 (indent-according-to-mode)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10574 (let ((start (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10575 (margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10576 model position prompt string end)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10577 (vhdl-prepare-search-2
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10578 (when (setq model (assoc model-name vhdl-model-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10579 ;; insert model
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10580 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10581 (delete-horizontal-space)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10582 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10583 (vhdl-insert-string-or-file (nth 1 model))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10584 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10585 ;; indent code
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10586 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10587 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10588 (while (< (point) end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10589 (unless (looking-at "^$")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10590 (insert-char ? margin))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10591 (beginning-of-line 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10592 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10593 ;; insert clock
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10594 (unless (equal "" vhdl-clock-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10595 (while (re-search-forward "<clock>" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10596 (replace-match vhdl-clock-name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10597 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10598 ;; insert reset
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10599 (unless (equal "" vhdl-reset-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10600 (while (re-search-forward "<reset>" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10601 (replace-match vhdl-reset-name)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10602 ;; replace header prompts
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10603 (vhdl-template-replace-header-keywords start end nil t)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10604 (goto-char start)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10605 ;; query other prompts
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10606 (while (re-search-forward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10607 (concat "<\\(" vhdl-template-prompt-syntax "\\)>") end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10608 (unless (equal "cursor" (match-string 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10609 (setq position (match-beginning 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10610 (setq prompt (match-string 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10611 (replace-match "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10612 (setq string (vhdl-template-field prompt nil t))
42942
048fa049ccba Comment change.
Richard M. Stallman <rms@gnu.org>
parents: 42455
diff changeset
10613 ;; replace occurrences of same prompt
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10614 (while (re-search-forward (concat "<\\(" prompt "\\)>") end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10615 (replace-match (or string "")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10616 (goto-char position)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10617 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10618 ;; goto final position
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10619 (if (re-search-forward "<cursor>" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10620 (replace-match "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10621 (goto-char end))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10622
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10623 (defun vhdl-model-defun ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10624 "Define help and hook functions for user models."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10625 (let ((model-alist vhdl-model-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10626 model-name model-keyword)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10627 (while model-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10628 ;; define functions for user models that can be invoked from menu and key
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10629 ;; bindings and which themselves call `vhdl-model-insert' with the model
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10630 ;; name as argument
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10631 (setq model-name (nth 0 (car model-alist)))
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10632 (eval `(defun ,(vhdl-function-name "vhdl-model" model-name) ()
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10633 ,(concat "Insert model for \"" model-name "\".")
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10634 (interactive)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10635 (vhdl-model-insert ,model-name)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10636 ;; define hooks for user models that are invoked from keyword abbrevs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10637 (setq model-keyword (nth 3 (car model-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10638 (unless (equal model-keyword "")
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10639 (eval `(defun
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10640 ,(vhdl-function-name
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10641 "vhdl-model" model-name "hook") ()
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10642 (vhdl-hooked-abbrev
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10643 ',(vhdl-function-name "vhdl-model" model-name)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10644 (setq model-alist (cdr model-alist)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10645
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10646 (vhdl-model-defun)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10647
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10648
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10649 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10650 ;;; Port translation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10651 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10652
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10653 (defvar vhdl-port-list nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10654 "Variable to hold last port map parsed.")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10655 ;; structure: (parenthesised expression means list of such entries)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10656 ;; (ent-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10657 ;; ((generic-names) generic-type generic-init generic-comment group-comment)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10658 ;; ((port-names) port-object port-direct port-type port-comment group-comment)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10659 ;; (lib-name pack-key))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10660
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10661 (defun vhdl-parse-string (string &optional optional)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10662 "Check that the text following point matches the regexp in STRING."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10663 (if (looking-at string)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10664 (goto-char (match-end 0))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10665 (unless optional
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10666 (throw 'parse (format "ERROR: Syntax error near line %s, expecting \"%s\""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10667 (vhdl-current-line) string)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10668 nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10669
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10670 (defun vhdl-replace-string (regexp-cons string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10671 "Replace STRING from car of REGEXP-CONS to cdr of REGEXP-CONS."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10672 (vhdl-prepare-search-1
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10673 (if (string-match (car regexp-cons) string)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10674 (funcall vhdl-file-name-case
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10675 (replace-match (cdr regexp-cons) t nil string))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10676 string)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10677
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10678 (defun vhdl-parse-group-comment ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10679 "Parse comment and empty lines between groups of lines."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10680 (let ((start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10681 string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10682 (vhdl-forward-comment (point-max))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10683 (setq string (buffer-substring-no-properties start (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10684 ;; strip off leading blanks and first newline
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10685 (while (string-match "^\\(\\s-+\\)" string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10686 (setq string (concat (substring string 0 (match-beginning 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10687 (substring string (match-end 1)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10688 (if (and (not (equal string "")) (equal (substring string 0 1) "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10689 (substring string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10690 string)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10691
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10692 (defun vhdl-paste-group-comment (string indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10693 "Paste comment and empty lines from STRING between groups of lines
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10694 with INDENT."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10695 (let ((pos (point-marker)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10696 (when (> indent 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10697 (while (string-match "^\\(--\\)" string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10698 (setq string (concat (substring string 0 (match-beginning 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10699 (make-string indent ? )
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10700 (substring string (match-beginning 1))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10701 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10702 (insert string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10703 (goto-char pos)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10704
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10705 (defvar vhdl-port-flattened nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10706 "Indicates whether a port has been flattened.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10707
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10708 (defun vhdl-port-flatten (&optional as-alist)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10709 "Flatten port list so that only one generic/port exists per line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10710 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10711 (if (not vhdl-port-list)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10712 (error "ERROR: No port has been read")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10713 (message "Flattening port...")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10714 (let ((new-vhdl-port-list (list (car vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10715 (old-vhdl-port-list (cdr vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10716 old-port-list new-port-list old-port new-port names)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10717 ;; traverse port list and flatten entries
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10718 (while (cdr old-vhdl-port-list)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10719 (setq old-port-list (car old-vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10720 (setq new-port-list nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10721 (while old-port-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10722 (setq old-port (car old-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10723 (setq names (car old-port))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10724 (while names
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10725 (setq new-port (cons (if as-alist (car names) (list (car names)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10726 (cdr old-port)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10727 (setq new-port-list (append new-port-list (list new-port)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10728 (setq names (cdr names)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10729 (setq old-port-list (cdr old-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10730 (setq old-vhdl-port-list (cdr old-vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10731 (setq new-vhdl-port-list (append new-vhdl-port-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10732 (list new-port-list))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10733 (setq vhdl-port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10734 (append new-vhdl-port-list (list old-vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10735 vhdl-port-flattened t)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10736 (message "Flattening port...done"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10737
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10738 (defvar vhdl-port-reversed-direction nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10739 "Indicates whether port directions are reversed.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10740
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10741 (defun vhdl-port-reverse-direction ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10742 "Reverse direction for all ports (useful in testbenches)."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10743 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10744 (if (not vhdl-port-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10745 (error "ERROR: No port has been read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10746 (message "Reversing port directions...")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10747 (let ((port-list (nth 2 vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10748 port-dir-car port-dir)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10749 ;; traverse port list and reverse directions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10750 (while port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10751 (setq port-dir-car (cddr (car port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10752 port-dir (car port-dir-car))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10753 (setcar port-dir-car
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10754 (cond ((equal port-dir "in") "out")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10755 ((equal port-dir "out") "in")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10756 (t port-dir)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10757 (setq port-list (cdr port-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10758 (setq vhdl-port-reversed-direction (not vhdl-port-reversed-direction))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10759 (message "Reversing port directions...done"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10760
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10761 (defun vhdl-port-copy ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10762 "Get generic and port information from an entity or component declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10763 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10764 (save-excursion
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10765 (let (parse-error end-of-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10766 decl-type name generic-list port-list context-clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10767 object names direct type init comment group-comment)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10768 (vhdl-prepare-search-2
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10769 (setq
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10770 parse-error
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10771 (catch 'parse
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10772 ;; check if within entity or component declaration
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10773 (end-of-line)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10774 (when (or (not (re-search-backward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10775 "^\\s-*\\(component\\|entity\\|end\\)\\>" nil t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10776 (equal "END" (upcase (match-string 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10777 (throw 'parse "ERROR: Not within an entity or component declaration"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10778 (setq decl-type (downcase (match-string-no-properties 1)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10779 (forward-word 1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10780 (vhdl-parse-string "\\s-+\\(\\w+\\)\\(\\s-+is\\>\\)?")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10781 (setq name (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10782 (message "Reading port of %s \"%s\"..." decl-type name)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10783 (vhdl-forward-syntactic-ws)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10784 ;; parse generic clause
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10785 (when (vhdl-parse-string "generic[ \t\n]*(" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10786 ;; parse group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10787 (setq group-comment (vhdl-parse-group-comment))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10788 (setq end-of-list (vhdl-parse-string ")[ \t\n]*;[ \t\n]*" t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10789 (while (not end-of-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10790 ;; parse names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10791 (vhdl-parse-string "\\(\\w+\\)[ \t\n]*")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10792 (setq names (list (match-string-no-properties 1)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10793 (while (vhdl-parse-string ",[ \t\n]*\\(\\w+\\)[ \t\n]*" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10794 (setq names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10795 (append names (list (match-string-no-properties 1)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10796 ;; parse type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10797 (vhdl-parse-string ":[ \t\n]*\\([^():;\n]+\\)")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10798 (setq type (match-string-no-properties 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10799 (setq comment nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10800 (while (looking-at "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10801 (setq type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10802 (concat type
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10803 (buffer-substring-no-properties
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10804 (point) (progn (forward-sexp) (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10805 (and (vhdl-parse-string "\\([^():;\n]*\\)" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10806 (match-string-no-properties 1)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10807 ;; special case: closing parenthesis is on separate line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10808 (when (and type (string-match "\\(\\s-*--\\s-*\\)\\(.*\\)" type))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10809 (setq comment (substring type (match-beginning 2)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10810 (setq type (substring type 0 (match-beginning 1))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10811 ;; strip of trailing group-comment
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10812 (string-match "\\(\\(\\s-*\\S-+\\)+\\)\\s-*" type)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10813 (setq type (substring type 0 (match-end 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10814 ;; parse initialization expression
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10815 (setq init nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10816 (when (vhdl-parse-string ":=[ \t\n]*" t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10817 (vhdl-parse-string "\\([^();\n]*\\)")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10818 (setq init (match-string-no-properties 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10819 (while (looking-at "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10820 (setq init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10821 (concat init
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10822 (buffer-substring-no-properties
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10823 (point) (progn (forward-sexp) (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10824 (and (vhdl-parse-string "\\([^();\n]*\\)" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10825 (match-string-no-properties 1))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10826 ;; special case: closing parenthesis is on separate line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10827 (when (and init (string-match "\\(\\s-*--\\s-*\\)\\(.*\\)" init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10828 (setq comment (substring init (match-beginning 2)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10829 (setq init (substring init 0 (match-beginning 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10830 (vhdl-forward-syntactic-ws))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10831 (skip-chars-forward " \t")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10832 ;; parse inline comment, special case: as above, no initial.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10833 (unless comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10834 (setq comment (and (vhdl-parse-string "--\\s-*\\([^\n]*\\)" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10835 (match-string-no-properties 1))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10836 (vhdl-forward-syntactic-ws)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10837 (setq end-of-list (vhdl-parse-string ")" t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10838 (vhdl-parse-string "\\s-*;\\s-*")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10839 ;; parse inline comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10840 (unless comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10841 (setq comment (and (vhdl-parse-string "--\\s-*\\([^\n]*\\)" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10842 (match-string-no-properties 1))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10843 ;; save everything in list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10844 (setq generic-list (append generic-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10845 (list (list names type init
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10846 comment group-comment))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10847 ;; parse group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10848 (setq group-comment (vhdl-parse-group-comment))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10849 ;; parse port clause
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10850 (when (vhdl-parse-string "port[ \t\n]*(" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10851 ;; parse group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10852 (setq group-comment (vhdl-parse-group-comment))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10853 (setq end-of-list (vhdl-parse-string ")[ \t\n]*;[ \t\n]*" t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10854 (while (not end-of-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10855 ;; parse object
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10856 (setq object
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10857 (and (vhdl-parse-string "\\(signal\\|quantity\\|terminal\\)[ \t\n]*" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10858 (match-string-no-properties 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10859 ;; parse names (accept extended identifiers)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10860 (vhdl-parse-string "\\(\\w+\\|\\\\[^\\]+\\\\\\)[ \t\n]*")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10861 (setq names (list (match-string-no-properties 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10862 (while (vhdl-parse-string ",[ \t\n]*\\(\\w+\\|\\\\[^\\]+\\\\\\)[ \t\n]*" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10863 (setq names (append names (list (match-string-no-properties 1)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10864 ;; parse direction
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10865 (vhdl-parse-string ":[ \t\n]*")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10866 (setq direct
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10867 (and (vhdl-parse-string "\\(in\\|out\\|inout\\|buffer\\|linkage\\)[ \t\n]+" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10868 (match-string-no-properties 1)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10869 ;; parse type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10870 (vhdl-parse-string "\\([^();\n]+\\)")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10871 (setq type (match-string-no-properties 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10872 (setq comment nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10873 (while (looking-at "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10874 (setq type (concat type
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10875 (buffer-substring-no-properties
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10876 (point) (progn (forward-sexp) (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10877 (and (vhdl-parse-string "\\([^();\n]*\\)" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10878 (match-string-no-properties 1)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10879 ;; special case: closing parenthesis is on separate line
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10880 (when (and type (string-match "\\(\\s-*--\\s-*\\)\\(.*\\)" type))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10881 (setq comment (substring type (match-beginning 2)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10882 (setq type (substring type 0 (match-beginning 1))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10883 ;; strip of trailing group-comment
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10884 (string-match "\\(\\(\\s-*\\S-+\\)+\\)\\s-*" type)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10885 (setq type (substring type 0 (match-end 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10886 (vhdl-forward-syntactic-ws)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10887 (setq end-of-list (vhdl-parse-string ")" t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10888 (vhdl-parse-string "\\s-*;\\s-*")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10889 ;; parse inline comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10890 (unless comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10891 (setq comment (and (vhdl-parse-string "--\\s-*\\([^\n]*\\)" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10892 (match-string-no-properties 1))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10893 ;; save everything in list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10894 (setq port-list (append port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10895 (list (list names object direct type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10896 comment group-comment))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10897 ;; parse group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10898 (setq group-comment (vhdl-parse-group-comment))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10899 ; (vhdl-parse-string "end\\>")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10900 ;; parse context clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10901 (setq context-clause (vhdl-scan-context-clause))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10902 ; ;; add surrounding package to context clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10903 ; (when (and (equal decl-type "component")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10904 ; (re-search-backward "^\\s-*package\\s-+\\(\\w+\\)" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10905 ; (setq context-clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10906 ; (append context-clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10907 ; (list (cons (vhdl-work-library)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10908 ; (match-string-no-properties 1))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10909 (message "Reading port of %s \"%s\"...done" decl-type name)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10910 nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10911 ;; finish parsing
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10912 (if parse-error
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10913 (error parse-error)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10914 (setq vhdl-port-list (list name generic-list port-list context-clause)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10915 vhdl-port-reversed-direction nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10916 vhdl-port-flattened nil)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10917
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10918 (defun vhdl-port-paste-context-clause (&optional exclude-pack-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10919 "Paste a context clause."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10920 (let ((margin (current-indentation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10921 (clause-list (nth 3 vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10922 clause)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10923 (while clause-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10924 (setq clause (car clause-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10925 (unless (or (and exclude-pack-name (equal (downcase (cdr clause))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10926 (downcase exclude-pack-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10927 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10928 (re-search-backward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10929 (concat "^\\s-*use\\s-+" (car clause)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10930 "\." (cdr clause) "\\>") nil t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10931 (vhdl-template-standard-package (car clause) (cdr clause))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10932 (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10933 (setq clause-list (cdr clause-list)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10934
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10935 (defun vhdl-port-paste-generic (&optional no-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10936 "Paste a generic clause."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10937 (let ((margin (current-indentation))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10938 (generic-list (nth 1 vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10939 list-margin start names generic)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10940 ;; paste generic clause
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10941 (when generic-list
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10942 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10943 (vhdl-insert-keyword "GENERIC (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10944 (unless vhdl-argument-list-indent
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10945 (insert "\n") (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10946 (setq list-margin (current-column))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10947 (while generic-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10948 (setq generic (car generic-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10949 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10950 (when (memq vhdl-include-group-comments '(decl always))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10951 (vhdl-paste-group-comment (nth 4 generic) list-margin))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10952 ;; paste names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10953 (setq names (nth 0 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10954 (while names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10955 (insert (car names))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10956 (setq names (cdr names))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10957 (when names (insert ", ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10958 ;; paste type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10959 (insert " : " (nth 1 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10960 ;; paste initialization
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10961 (when (and (not no-init) (nth 2 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10962 (insert " := " (nth 2 generic)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10963 (unless (cdr generic-list) (insert ")"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10964 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10965 ;; paste comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10966 (when (and vhdl-include-port-comments (nth 3 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10967 (vhdl-comment-insert-inline (nth 3 generic) t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10968 (setq generic-list (cdr generic-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10969 (when generic-list (insert "\n") (indent-to list-margin)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10970 ;; align generic clause
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10971 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1 t)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10972
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10973 (defun vhdl-port-paste-port ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10974 "Paste a port clause."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10975 (let ((margin (current-indentation))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10976 (port-list (nth 2 vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10977 list-margin start names port)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10978 ;; paste port clause
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10979 (when port-list
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10980 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10981 (vhdl-insert-keyword "PORT (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10982 (unless vhdl-argument-list-indent
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10983 (insert "\n") (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10984 (setq list-margin (current-column))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10985 (while port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10986 (setq port (car port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10987 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10988 (when (memq vhdl-include-group-comments '(decl always))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10989 (vhdl-paste-group-comment (nth 5 port) list-margin))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10990 ;; paste object
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10991 (when (nth 1 port) (insert (nth 1 port) " "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10992 ;; paste names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10993 (setq names (nth 0 port))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10994 (while names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10995 (insert (car names))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10996 (setq names (cdr names))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10997 (when names (insert ", ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10998 ;; paste direction
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10999 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11000 (when (nth 2 port) (insert (nth 2 port) " "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11001 ;; paste type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11002 (insert (nth 3 port))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11003 (unless (cdr port-list) (insert ")"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11004 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11005 ;; paste comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11006 (when (and vhdl-include-port-comments (nth 4 port))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11007 (vhdl-comment-insert-inline (nth 4 port) t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11008 (setq port-list (cdr port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11009 (when port-list (insert "\n") (indent-to list-margin)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11010 ;; align port clause
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11011 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11012
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11013 (defun vhdl-port-paste-declaration (kind &optional no-indent)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11014 "Paste as an entity or component declaration."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11015 (unless no-indent (indent-according-to-mode))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11016 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11017 (name (nth 0 vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11018 (vhdl-insert-keyword (if (eq kind 'entity) "ENTITY " "COMPONENT "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11019 (insert name)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11020 (when (or (eq kind 'entity) (not (vhdl-standard-p '87)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11021 (vhdl-insert-keyword " IS"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11022 ;; paste generic and port clause
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11023 (when (nth 1 vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11024 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11025 (when (and (memq vhdl-insert-empty-lines '(unit all)) (eq kind 'entity))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11026 (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11027 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11028 (vhdl-port-paste-generic (eq kind 'component)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11029 (when (nth 2 vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11030 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11031 (when (and (memq vhdl-insert-empty-lines '(unit all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11032 (eq kind 'entity))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11033 (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11034 (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11035 (vhdl-port-paste-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11036 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11037 (when (and (memq vhdl-insert-empty-lines '(unit all)) (eq kind 'entity))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11038 (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11039 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11040 (vhdl-insert-keyword "END")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11041 (if (eq kind 'entity)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11042 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11043 (unless (vhdl-standard-p '87) (vhdl-insert-keyword " ENTITY"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11044 (insert " " name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11045 (vhdl-insert-keyword " COMPONENT")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11046 (unless (vhdl-standard-p '87) (insert " " name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11047 (insert ";")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11048
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11049 (defun vhdl-port-paste-entity (&optional no-indent)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11050 "Paste as an entity declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11051 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11052 (if (not vhdl-port-list)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11053 (error "ERROR: No port read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11054 (message "Pasting port as entity \"%s\"..." (car vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11055 (vhdl-port-paste-declaration 'entity no-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11056 (message "Pasting port as entity \"%s\"...done" (car vhdl-port-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11057
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11058 (defun vhdl-port-paste-component (&optional no-indent)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11059 "Paste as a component declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11060 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11061 (if (not vhdl-port-list)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11062 (error "ERROR: No port read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11063 (message "Pasting port as component \"%s\"..." (car vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11064 (vhdl-port-paste-declaration 'component no-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11065 (message "Pasting port as component \"%s\"...done" (car vhdl-port-list))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11066
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11067 (defun vhdl-port-paste-generic-map (&optional secondary no-constants)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11068 "Paste as a generic map."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11069 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11070 (unless secondary (indent-according-to-mode))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11071 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11072 list-margin start generic
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11073 (generic-list (nth 1 vhdl-port-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11074 (when generic-list
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11075 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11076 (vhdl-insert-keyword "GENERIC MAP (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11077 (if (not vhdl-association-list-with-formals)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11078 ;; paste list of actual generics
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11079 (while generic-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11080 (insert (if no-constants
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11081 (car (nth 0 (car generic-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11082 (or (nth 2 (car generic-list)) " ")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11083 (setq generic-list (cdr generic-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11084 (insert (if generic-list ", " ")")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11085 (unless vhdl-argument-list-indent
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11086 (insert "\n") (indent-to (+ margin vhdl-basic-offset)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11087 (setq list-margin (current-column))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11088 (while generic-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11089 (setq generic (car generic-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11090 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11091 (when (eq vhdl-include-group-comments 'always)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11092 (vhdl-paste-group-comment (nth 4 generic) list-margin))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11093 ;; paste formal and actual generic
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11094 (insert (car (nth 0 generic)) " => "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11095 (if no-constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11096 (car (nth 0 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11097 (or (nth 2 generic) "")))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11098 (setq generic-list (cdr generic-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11099 (insert (if generic-list "," ")"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11100 ;; paste comment
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11101 (when (or vhdl-include-type-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11102 (and vhdl-include-port-comments (nth 3 generic)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11103 (vhdl-comment-insert-inline
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11104 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11105 (when vhdl-include-type-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11106 (concat "[" (nth 1 generic) "] "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11107 (when vhdl-include-port-comments (nth 3 generic))) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11108 (when generic-list (insert "\n") (indent-to list-margin)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11109 ;; align generic map
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11110 (when vhdl-auto-align
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11111 (vhdl-align-region-groups start (point) 1 t))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11112
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11113 (defun vhdl-port-paste-port-map ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11114 "Paste as a port map."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11115 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11116 list-margin start port
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11117 (port-list (nth 2 vhdl-port-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11118 (when port-list
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11119 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11120 (vhdl-insert-keyword "PORT MAP (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11121 (if (not vhdl-association-list-with-formals)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11122 ;; paste list of actual ports
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11123 (while port-list
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11124 (insert (vhdl-replace-string vhdl-actual-port-name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11125 (car (nth 0 (car port-list)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11126 (setq port-list (cdr port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11127 (insert (if port-list ", " ");")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11128 (unless vhdl-argument-list-indent
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11129 (insert "\n") (indent-to (+ margin vhdl-basic-offset)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11130 (setq list-margin (current-column))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11131 (while port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11132 (setq port (car port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11133 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11134 (when (eq vhdl-include-group-comments 'always)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11135 (vhdl-paste-group-comment (nth 5 port) list-margin))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11136 ;; paste formal and actual port
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11137 (insert (car (nth 0 port)) " => ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11138 (insert (vhdl-replace-string vhdl-actual-port-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11139 (car (nth 0 port))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11140 (setq port-list (cdr port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11141 (insert (if port-list "," ");"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11142 ;; paste comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11143 (when (or vhdl-include-direction-comments
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11144 vhdl-include-type-comments
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11145 (and vhdl-include-port-comments (nth 4 port)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11146 (vhdl-comment-insert-inline
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11147 (concat
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11148 (cond ((and vhdl-include-direction-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11149 vhdl-include-type-comments)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11150 (concat "[" (format "%-4s" (concat (nth 2 port) " "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11151 (nth 3 port) "] "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11152 ((and vhdl-include-direction-comments (nth 2 port))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11153 (format "%-6s" (concat "[" (nth 2 port) "] ")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11154 (vhdl-include-direction-comments " ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11155 (vhdl-include-type-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11156 (concat "[" (nth 3 port) "] ")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11157 (when vhdl-include-port-comments (nth 4 port))) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11158 (when port-list (insert "\n") (indent-to list-margin)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11159 ;; align port clause
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11160 (when vhdl-auto-align
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11161 (vhdl-align-region-groups start (point) 1))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11162
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11163 (defun vhdl-port-paste-instance (&optional name no-indent title)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11164 "Paste as an instantiation."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11165 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11166 (if (not vhdl-port-list)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11167 (error "ERROR: No port read")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11168 (let ((orig-vhdl-port-list vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11169 ;; flatten local copy of port list (must be flat for port mapping)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11170 (vhdl-port-flatten)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11171 (unless no-indent (indent-according-to-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11172 (let ((margin (current-indentation)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11173 ;; paste instantiation
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11174 (cond (name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11175 (insert name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11176 ((equal (cdr vhdl-instance-name) "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11177 (setq name (vhdl-template-field "instance name")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11178 ((string-match "\%d" (cdr vhdl-instance-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11179 (let ((n 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11180 (while (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11181 (setq name (format (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11182 vhdl-instance-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11183 (nth 0 vhdl-port-list)) n))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11184 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11185 (vhdl-re-search-forward name nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11186 (setq n (1+ n)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11187 (insert name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11188 (t (insert (vhdl-replace-string vhdl-instance-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11189 (nth 0 vhdl-port-list)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11190 (message "Pasting port as instantiation \"%s\"..." name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11191 (insert ": ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11192 (when title
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11193 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11194 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11195 (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11196 (insert "-- instance \"" name "\"\n")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11197 (if (not (vhdl-use-direct-instantiation))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11198 (insert (nth 0 vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11199 (vhdl-insert-keyword "ENTITY ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11200 (insert (vhdl-work-library) "." (nth 0 vhdl-port-list)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11201 (when (nth 1 vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11202 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11203 (vhdl-port-paste-generic-map t t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11204 (when (nth 2 vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11205 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11206 (vhdl-port-paste-port-map))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11207 (message "Pasting port as instantiation \"%s\"...done" name))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11208 (setq vhdl-port-list orig-vhdl-port-list))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11209
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11210 (defun vhdl-port-paste-constants (&optional no-indent)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11211 "Paste generics as constants."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11212 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11213 (if (not vhdl-port-list)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11214 (error "ERROR: No port read")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11215 (let ((orig-vhdl-port-list vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11216 (message "Pasting port as constants...")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11217 ;; flatten local copy of port list (must be flat for constant initial.)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11218 (vhdl-port-flatten)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11219 (unless no-indent (indent-according-to-mode))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11220 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11221 start generic name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11222 (generic-list (nth 1 vhdl-port-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11223 (when generic-list
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11224 (setq start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11225 (while generic-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11226 (setq generic (car generic-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11227 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11228 (when (memq vhdl-include-group-comments '(decl always))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11229 (vhdl-paste-group-comment (nth 4 generic) margin))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11230 (vhdl-insert-keyword "CONSTANT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11231 ;; paste generic constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11232 (setq name (nth 0 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11233 (when name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11234 (insert (car name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11235 ;; paste type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11236 (insert " : " (nth 1 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11237 ;; paste initialization
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11238 (when (nth 2 generic)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11239 (insert " := " (nth 2 generic)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11240 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11241 ;; paste comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11242 (when (and vhdl-include-port-comments (nth 3 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11243 (vhdl-comment-insert-inline (nth 3 generic) t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11244 (setq generic-list (cdr generic-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11245 (when generic-list (insert "\n") (indent-to margin))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11246 ;; align signal list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11247 (when vhdl-auto-align
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11248 (vhdl-align-region-groups start (point) 1))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11249 (message "Pasting port as constants...done")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11250 (setq vhdl-port-list orig-vhdl-port-list))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11251
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11252 (defun vhdl-port-paste-signals (&optional initialize no-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11253 "Paste ports as internal signals."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11254 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11255 (if (not vhdl-port-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11256 (error "ERROR: No port read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11257 (message "Pasting port as signals...")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11258 (unless no-indent (indent-according-to-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11259 (let ((margin (current-indentation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11260 start port names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11261 (port-list (nth 2 vhdl-port-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11262 (when port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11263 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11264 (while port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11265 (setq port (car port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11266 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11267 (when (memq vhdl-include-group-comments '(decl always))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11268 (vhdl-paste-group-comment (nth 5 port) margin))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11269 ;; paste object
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11270 (if (nth 1 port)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11271 (insert (nth 1 port) " ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11272 (vhdl-insert-keyword "SIGNAL "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11273 ;; paste actual port signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11274 (setq names (nth 0 port))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11275 (while names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11276 (insert (vhdl-replace-string vhdl-actual-port-name (car names)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11277 (setq names (cdr names))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11278 (when names (insert ", ")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11279 ;; paste type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11280 (insert " : " (nth 3 port))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11281 ;; paste initialization (inputs only)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11282 (when (and initialize (equal "IN" (upcase (nth 2 port))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11283 (insert " := " (if (string-match "(.+)" (nth 3 port))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11284 "(others => '0')" "'0'")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11285 (insert ";")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11286 ;; paste comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11287 (when (or vhdl-include-direction-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11288 (and vhdl-include-port-comments (nth 4 port)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11289 (vhdl-comment-insert-inline
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11290 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11291 (cond ((and vhdl-include-direction-comments (nth 2 port))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11292 (format "%-6s" (concat "[" (nth 2 port) "] ")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11293 (vhdl-include-direction-comments " "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11294 (when vhdl-include-port-comments (nth 4 port))) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11295 (setq port-list (cdr port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11296 (when port-list (insert "\n") (indent-to margin)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11297 ;; align signal list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11298 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11299 (message "Pasting port as signals...done")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11300
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11301 (defun vhdl-port-paste-initializations (&optional no-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11302 "Paste ports as signal initializations."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11303 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11304 (if (not vhdl-port-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11305 (error "ERROR: No port read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11306 (let ((orig-vhdl-port-list vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11307 (message "Pasting port as initializations...")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11308 ;; flatten local copy of port list (must be flat for signal initial.)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11309 (vhdl-port-flatten)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11310 (unless no-indent (indent-according-to-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11311 (let ((margin (current-indentation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11312 start port name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11313 (port-list (nth 2 vhdl-port-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11314 (when port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11315 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11316 (while port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11317 (setq port (car port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11318 ;; paste actual port signal (inputs only)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11319 (when (equal "IN" (upcase (nth 2 port)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11320 (setq name (car (nth 0 port)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11321 (insert (vhdl-replace-string vhdl-actual-port-name name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11322 ;; paste initialization
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11323 (insert " <= " (if (string-match "(.+)" (nth 3 port))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11324 "(others => '0')" "'0'") ";"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11325 (setq port-list (cdr port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11326 (when (and port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11327 (equal "IN" (upcase (nth 2 (car port-list)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11328 (insert "\n") (indent-to margin)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11329 ;; align signal list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11330 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11331 (message "Pasting port as initializations...done")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11332 (setq vhdl-port-list orig-vhdl-port-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11333
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11334 (defun vhdl-port-paste-testbench ()
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11335 "Paste as a bare-bones testbench."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11336 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11337 (if (not vhdl-port-list)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11338 (error "ERROR: No port read")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11339 (let ((case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11340 (ent-name (vhdl-replace-string vhdl-testbench-entity-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11341 (nth 0 vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11342 (source-buffer (current-buffer))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11343 arch-name config-name ent-file-name arch-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11344 ent-buffer arch-buffer position)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11345 ;; open entity file
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11346 (unless (eq vhdl-testbench-create-files 'none)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11347 (setq ent-file-name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11348 (concat ent-name "." (file-name-extension (buffer-file-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11349 (if (file-exists-p ent-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11350 (if (y-or-n-p
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11351 (concat "File \"" ent-file-name "\" exists; overwrite? "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11352 (progn (find-file ent-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11353 (erase-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11354 (set-buffer-modified-p nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11355 (if (eq vhdl-testbench-create-files 'separate)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11356 (setq ent-file-name nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11357 (error "ERROR: Pasting port as testbench...aborted")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11358 (find-file ent-file-name)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11359 (unless (and (eq vhdl-testbench-create-files 'separate)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11360 (null ent-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11361 ;; paste entity header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11362 (if vhdl-testbench-include-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11363 (progn (vhdl-template-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11364 (concat "Testbench for design \""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11365 (nth 0 vhdl-port-list) "\""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11366 (goto-char (point-max)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11367 (vhdl-comment-display-line) (insert "\n\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11368 ;; paste std_logic_1164 package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11369 (when vhdl-testbench-include-library
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11370 (vhdl-template-package-std-logic-1164)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11371 (insert "\n\n") (vhdl-comment-display-line) (insert "\n\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11372 ;; paste entity declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11373 (vhdl-insert-keyword "ENTITY ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11374 (insert ent-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11375 (vhdl-insert-keyword " IS")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11376 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11377 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11378 (vhdl-insert-keyword "END ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11379 (unless (vhdl-standard-p '87) (vhdl-insert-keyword "ENTITY "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11380 (insert ent-name ";")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11381 (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11382 (vhdl-comment-display-line) (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11383 ;; get architecture name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11384 (setq arch-name (if (equal (cdr vhdl-testbench-architecture-name) "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11385 (read-from-minibuffer "architecture name: "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11386 nil vhdl-minibuffer-local-map)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11387 (vhdl-replace-string vhdl-testbench-architecture-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11388 (nth 0 vhdl-port-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11389 (message "Pasting port as testbench \"%s(%s)\"..." ent-name arch-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11390 ;; open architecture file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11391 (if (not (eq vhdl-testbench-create-files 'separate))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11392 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11393 (setq ent-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11394 (setq arch-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11395 (concat ent-name "_" arch-name "."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11396 (file-name-extension (buffer-file-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11397 (when (and (file-exists-p arch-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11398 (not (y-or-n-p (concat "File \"" arch-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11399 "\" exists; overwrite? "))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11400 (error "ERROR: Pasting port as testbench...aborted"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11401 (find-file arch-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11402 (erase-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11403 (set-buffer-modified-p nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11404 ;; paste architecture header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11405 (if vhdl-testbench-include-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11406 (progn (vhdl-template-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11407 (concat "Testbench architecture for design \""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11408 (nth 0 vhdl-port-list) "\""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11409 (goto-char (point-max)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11410 (vhdl-comment-display-line) (insert "\n\n")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11411 ;; paste architecture body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11412 (vhdl-insert-keyword "ARCHITECTURE ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11413 (insert arch-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11414 (vhdl-insert-keyword " OF ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11415 (insert ent-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11416 (vhdl-insert-keyword " IS")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11417 (insert "\n\n") (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11418 ;; paste component declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11419 (unless (vhdl-use-direct-instantiation)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11420 (vhdl-port-paste-component t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11421 (insert "\n\n") (indent-to vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11422 ;; paste constants
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11423 (when (nth 1 vhdl-port-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11424 (insert "-- component generics\n") (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11425 (vhdl-port-paste-constants t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11426 (insert "\n\n") (indent-to vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11427 ;; paste internal signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11428 (insert "-- component ports\n") (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11429 (vhdl-port-paste-signals vhdl-testbench-initialize-signals t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11430 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11431 ;; paste custom declarations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11432 (unless (equal "" vhdl-testbench-declarations)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11433 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11434 (vhdl-insert-string-or-file vhdl-testbench-declarations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11435 (setq position (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11436 (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11437 (vhdl-comment-display-line) (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11438 (when vhdl-testbench-include-configuration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11439 (setq config-name (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11440 vhdl-testbench-configuration-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11441 (concat ent-name " " arch-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11442 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11443 (vhdl-insert-keyword "CONFIGURATION ") (insert config-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11444 (vhdl-insert-keyword " OF ") (insert ent-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11445 (vhdl-insert-keyword " IS\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11446 (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11447 (vhdl-insert-keyword "FOR ") (insert arch-name "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11448 (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11449 (vhdl-insert-keyword "END FOR;\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11450 (vhdl-insert-keyword "END ") (insert config-name ";\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11451 (vhdl-comment-display-line) (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11452 (goto-char position)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11453 (vhdl-template-begin-end
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11454 (unless (vhdl-standard-p '87) "ARCHITECTURE") arch-name 0 t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11455 ;; paste instantiation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11456 (insert "-- component instantiation\n") (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11457 (vhdl-port-paste-instance
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11458 (vhdl-replace-string vhdl-testbench-dut-name (nth 0 vhdl-port-list)) t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11459 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11460 ;; paste custom statements
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11461 (unless (equal "" vhdl-testbench-statements)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11462 (insert "\n")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11463 (vhdl-insert-string-or-file vhdl-testbench-statements))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11464 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11465 (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11466 (unless (eq vhdl-testbench-create-files 'none)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11467 (setq arch-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11468 (when ent-buffer (set-buffer ent-buffer) (save-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11469 (set-buffer arch-buffer) (save-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11470 (message
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11471 (concat (format "Pasting port as testbench \"%s(%s)\"...done"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11472 ent-name arch-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11473 (and ent-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11474 (format "\n File created: \"%s\"" ent-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11475 (and arch-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11476 (format "\n File created: \"%s\"" arch-file-name)))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11477
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11478
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11479 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11480 ;;; Subprogram interface translation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11481 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11482
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11483 (defvar vhdl-subprog-list nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11484 "Variable to hold last subprogram interface parsed.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11485 ;; structure: (parenthesised expression means list of such entries)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11486 ;; (subprog-name kind
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11487 ;; ((names) object direct type init comment group-comment)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11488 ;; return-type return-comment group-comment)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11489
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11490 (defvar vhdl-subprog-flattened nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11491 "Indicates whether an subprogram interface has been flattened.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11492
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11493 (defun vhdl-subprog-flatten ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11494 "Flatten interface list so that only one parameter exists per line."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11495 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11496 (if (not vhdl-subprog-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11497 (error "ERROR: No subprogram interface has been read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11498 (message "Flattening subprogram interface...")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11499 (let ((old-subprog-list (nth 2 vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11500 new-subprog-list old-subprog new-subprog names)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11501 ;; traverse parameter list and flatten entries
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11502 (while old-subprog-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11503 (setq old-subprog (car old-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11504 (setq names (car old-subprog))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11505 (while names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11506 (setq new-subprog (cons (list (car names)) (cdr old-subprog)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11507 (setq new-subprog-list (append new-subprog-list (list new-subprog)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11508 (setq names (cdr names)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11509 (setq old-subprog-list (cdr old-subprog-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11510 (setq vhdl-subprog-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11511 (list (nth 0 vhdl-subprog-list) (nth 1 vhdl-subprog-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11512 new-subprog-list (nth 3 vhdl-subprog-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11513 (nth 4 vhdl-subprog-list) (nth 5 vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11514 vhdl-subprog-flattened t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11515 (message "Flattening subprogram interface...done"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11516
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11517 (defun vhdl-subprog-copy ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11518 "Get interface information from a subprogram specification."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11519 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11520 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11521 (let (parse-error pos end-of-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11522 name kind param-list object names direct type init
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11523 comment group-comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11524 return-type return-comment return-group-comment)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11525 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11526 (setq
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11527 parse-error
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11528 (catch 'parse
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11529 ;; check if within function declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11530 (setq pos (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11531 (end-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11532 (when (looking-at "[ \t\n]*\\((\\|;\\|is\\>\\)") (goto-char (match-end 0)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11533 (unless (and (re-search-backward "^\\s-*\\(\\(procedure\\)\\|\\(\\(pure\\|impure\\)\\s-+\\)?function\\)\\s-+\\(\"?\\w+\"?\\)[ \t\n]*\\(\\((\\)\\|;\\|is\\>\\)" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11534 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11535 (save-excursion (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11536 (forward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11537 (<= pos (point))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11538 (throw 'parse "ERROR: Not within a subprogram specification"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11539 (setq name (match-string-no-properties 5))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11540 (setq kind (if (match-string 2) 'procedure 'function))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11541 (setq end-of-list (not (match-string 7)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11542 (message "Reading interface of subprogram \"%s\"..." name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11543 ;; parse parameter list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11544 (setq group-comment (vhdl-parse-group-comment))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11545 (setq end-of-list (or end-of-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11546 (vhdl-parse-string ")[ \t\n]*\\(;\\|\\(is\\|return\\)\\>\\)" t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11547 (while (not end-of-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11548 ;; parse object
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11549 (setq object
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11550 (and (vhdl-parse-string "\\(constant\\|signal\\|variable\\|file\\|quantity\\|terminal\\)[ \t\n]*" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11551 (match-string-no-properties 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11552 ;; parse names (accept extended identifiers)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11553 (vhdl-parse-string "\\(\\w+\\|\\\\[^\\]+\\\\\\)[ \t\n]*")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11554 (setq names (list (match-string-no-properties 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11555 (while (vhdl-parse-string ",[ \t\n]*\\(\\w+\\|\\\\[^\\]+\\\\\\)[ \t\n]*" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11556 (setq names (append names (list (match-string-no-properties 1)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11557 ;; parse direction
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11558 (vhdl-parse-string ":[ \t\n]*")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11559 (setq direct
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11560 (and (vhdl-parse-string "\\(in\\|out\\|inout\\|buffer\\|linkage\\)[ \t\n]+" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11561 (match-string-no-properties 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11562 ;; parse type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11563 (vhdl-parse-string "\\([^():;\n]+\\)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11564 (setq type (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11565 (setq comment nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11566 (while (looking-at "(")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11567 (setq type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11568 (concat type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11569 (buffer-substring-no-properties
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11570 (point) (progn (forward-sexp) (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11571 (and (vhdl-parse-string "\\([^():;\n]*\\)" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11572 (match-string-no-properties 1)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11573 ;; special case: closing parenthesis is on separate line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11574 (when (and type (string-match "\\(\\s-*--\\s-*\\)\\(.*\\)" type))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11575 (setq comment (substring type (match-beginning 2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11576 (setq type (substring type 0 (match-beginning 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11577 ;; strip off trailing group-comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11578 (string-match "\\(\\(\\s-*\\S-+\\)+\\)\\s-*" type)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11579 (setq type (substring type 0 (match-end 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11580 ;; parse initialization expression
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11581 (setq init nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11582 (when (vhdl-parse-string ":=[ \t\n]*" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11583 (vhdl-parse-string "\\([^();\n]*\\)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11584 (setq init (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11585 (while (looking-at "(")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11586 (setq init
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11587 (concat init
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11588 (buffer-substring-no-properties
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11589 (point) (progn (forward-sexp) (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11590 (and (vhdl-parse-string "\\([^();\n]*\\)" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11591 (match-string-no-properties 1))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11592 ;; special case: closing parenthesis is on separate line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11593 (when (and init (string-match "\\(\\s-*--\\s-*\\)\\(.*\\)" init))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11594 (setq comment (substring init (match-beginning 2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11595 (setq init (substring init 0 (match-beginning 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11596 (vhdl-forward-syntactic-ws))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11597 (skip-chars-forward " \t")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11598 ;; parse inline comment, special case: as above, no initial.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11599 (unless comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11600 (setq comment (and (vhdl-parse-string "--\\s-*\\([^\n]*\\)" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11601 (match-string-no-properties 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11602 (vhdl-forward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11603 (setq end-of-list (vhdl-parse-string ")\\s-*" t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11604 ;; parse inline comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11605 (unless comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11606 (setq comment (and (vhdl-parse-string "--\\s-*\\([^\n]*\\)" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11607 (match-string-no-properties 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11608 (setq return-group-comment (vhdl-parse-group-comment))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11609 (vhdl-parse-string "\\(;\\|\\(is\\|\\(return\\)\\)\\>\\)\\s-*")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11610 ;; parse return type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11611 (when (match-string 3)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11612 (vhdl-parse-string "[ \t\n]*\\(.+\\)[ \t\n]*\\(;\\|is\\>\\)\\s-*")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11613 (setq return-type (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11614 (when (and return-type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11615 (string-match "\\(\\s-*--\\s-*\\)\\(.*\\)" return-type))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11616 (setq return-comment (substring return-type (match-beginning 2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11617 (setq return-type (substring return-type 0 (match-beginning 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11618 ;; strip of trailing group-comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11619 (string-match "\\(\\(\\s-*\\S-+\\)+\\)\\s-*" return-type)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11620 (setq return-type (substring return-type 0 (match-end 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11621 ;; parse return comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11622 (unless return-comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11623 (setq return-comment (and (vhdl-parse-string "--\\s-*\\([^\n]*\\)" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11624 (match-string-no-properties 1)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11625 ;; parse inline comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11626 (unless comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11627 (setq comment (and (vhdl-parse-string "--\\s-*\\([^\n]*\\)" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11628 (match-string-no-properties 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11629 ;; save everything in list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11630 (setq param-list (append param-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11631 (list (list names object direct type init
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11632 comment group-comment))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11633 ;; parse group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11634 (setq group-comment (vhdl-parse-group-comment)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11635 (message "Reading interface of subprogram \"%s\"...done" name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11636 nil)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11637 ;; finish parsing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11638 (if parse-error
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11639 (error parse-error)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11640 (setq vhdl-subprog-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11641 (list name kind param-list return-type return-comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11642 return-group-comment)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11643 vhdl-subprog-flattened nil)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11644
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11645 (defun vhdl-subprog-paste-specification (kind)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11646 "Paste as a subprogram specification."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11647 (indent-according-to-mode)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11648 (let ((margin (current-column))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11649 (param-list (nth 2 vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11650 list-margin start names param)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11651 ;; paste keyword and name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11652 (vhdl-insert-keyword
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11653 (if (eq (nth 1 vhdl-subprog-list) 'procedure) "PROCEDURE " "FUNCTION "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11654 (insert (nth 0 vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11655 (if (not param-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11656 (if (eq kind 'decl) (insert ";") (vhdl-insert-keyword " is"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11657 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11658 ;; paste parameter list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11659 (insert " (")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11660 (unless vhdl-argument-list-indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11661 (insert "\n") (indent-to (+ margin vhdl-basic-offset)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11662 (setq list-margin (current-column))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11663 (while param-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11664 (setq param (car param-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11665 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11666 (when (memq vhdl-include-group-comments (list kind 'always))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11667 (vhdl-paste-group-comment (nth 6 param) list-margin))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11668 ;; paste object
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11669 (when (nth 1 param) (insert (nth 1 param) " "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11670 ;; paste names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11671 (setq names (nth 0 param))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11672 (while names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11673 (insert (car names))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11674 (setq names (cdr names))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11675 (when names (insert ", ")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11676 ;; paste direction
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11677 (insert " : ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11678 (when (nth 2 param) (insert (nth 2 param) " "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11679 ;; paste type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11680 (insert (nth 3 param))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11681 ;; paste initialization
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11682 (when (nth 4 param) (insert " := " (nth 4 param)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11683 ;; terminate line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11684 (if (cdr param-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11685 (insert ";")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11686 (insert ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11687 (when (null (nth 3 vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11688 (if (eq kind 'decl) (insert ";") (vhdl-insert-keyword " is"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11689 ;; paste comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11690 (when (and vhdl-include-port-comments (nth 5 param))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11691 (vhdl-comment-insert-inline (nth 5 param) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11692 (setq param-list (cdr param-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11693 (when param-list (insert "\n") (indent-to list-margin)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11694 (when (nth 3 vhdl-subprog-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11695 (insert "\n") (indent-to list-margin)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11696 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11697 (when (memq vhdl-include-group-comments (list kind 'always))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11698 (vhdl-paste-group-comment (nth 5 vhdl-subprog-list) list-margin))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11699 ;; paste return type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11700 (insert "return " (nth 3 vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11701 (if (eq kind 'decl) (insert ";") (vhdl-insert-keyword " is"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11702 (when (and vhdl-include-port-comments (nth 4 vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11703 (vhdl-comment-insert-inline (nth 4 vhdl-subprog-list) t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11704 ;; align parameter list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11705 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1 t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11706 ;; paste body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11707 (when (eq kind 'body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11708 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11709 (vhdl-template-begin-end
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11710 (unless (vhdl-standard-p '87)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11711 (if (eq (nth 1 vhdl-subprog-list) 'procedure) "PROCEDURE" "FUNCTION"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11712 (nth 0 vhdl-subprog-list) margin))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11713
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11714 (defun vhdl-subprog-paste-declaration ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11715 "Paste as a subprogram declaration."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11716 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11717 (if (not vhdl-subprog-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11718 (error "ERROR: No subprogram interface read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11719 (message "Pasting interface as subprogram declaration \"%s\"..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11720 (car vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11721 ;; paste specification
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11722 (vhdl-subprog-paste-specification 'decl)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11723 (message "Pasting interface as subprogram declaration \"%s\"...done"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11724 (car vhdl-subprog-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11725
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11726 (defun vhdl-subprog-paste-body ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11727 "Paste as a subprogram body."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11728 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11729 (if (not vhdl-subprog-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11730 (error "ERROR: No subprogram interface read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11731 (message "Pasting interface as subprogram body \"%s\"..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11732 (car vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11733 ;; paste specification and body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11734 (vhdl-subprog-paste-specification 'body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11735 (message "Pasting interface as subprogram body \"%s\"...done"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11736 (car vhdl-subprog-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11737
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11738 (defun vhdl-subprog-paste-call ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11739 "Paste as a subprogram call."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11740 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11741 (if (not vhdl-subprog-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11742 (error "ERROR: No subprogram interface read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11743 (let ((orig-vhdl-subprog-list vhdl-subprog-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11744 param-list margin list-margin param start)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11745 ;; flatten local copy of interface list (must be flat for parameter mapping)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11746 (vhdl-subprog-flatten)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11747 (setq param-list (nth 2 vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11748 (indent-according-to-mode)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11749 (setq margin (current-indentation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11750 (message "Pasting interface as subprogram call \"%s\"..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11751 (car vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11752 ;; paste name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11753 (insert (nth 0 vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11754 (if (not param-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11755 (insert ";")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11756 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11757 ;; paste parameter list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11758 (insert " (")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11759 (unless vhdl-argument-list-indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11760 (insert "\n") (indent-to (+ margin vhdl-basic-offset)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11761 (setq list-margin (current-column))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11762 (while param-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11763 (setq param (car param-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11764 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11765 (when (eq vhdl-include-group-comments 'always)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11766 (vhdl-paste-group-comment (nth 6 param) list-margin))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11767 ;; paste formal port
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11768 (insert (car (nth 0 param)) " => ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11769 (setq param-list (cdr param-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11770 (insert (if param-list "," ");"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11771 ;; paste comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11772 (when (and vhdl-include-port-comments (nth 5 param))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11773 (vhdl-comment-insert-inline (nth 5 param)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11774 (when param-list (insert "\n") (indent-to list-margin)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11775 ;; align parameter list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11776 (when vhdl-auto-align
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11777 (vhdl-align-region-groups start (point) 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11778 (message "Pasting interface as subprogram call \"%s\"...done"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11779 (car vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11780 (setq vhdl-subprog-list orig-vhdl-subprog-list))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11781
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11782
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11783 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11784 ;;; Miscellaneous
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11785 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11786
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11787 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11788 ;; Hippie expand customization
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11789
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11790 (defvar vhdl-expand-upper-case nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11791
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11792 (defun vhdl-try-expand-abbrev (old)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11793 "Try expanding abbreviations from `vhdl-abbrev-list'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11794 (unless old
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11795 (he-init-string (he-dabbrev-beg) (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11796 (setq he-expand-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11797 (let ((abbrev-list vhdl-abbrev-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11798 (sel-abbrev-list '()))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11799 (while abbrev-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11800 (when (or (not (stringp (car abbrev-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11801 (string-match
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11802 (concat "^" he-search-string) (car abbrev-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11803 (setq sel-abbrev-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11804 (cons (car abbrev-list) sel-abbrev-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11805 (setq abbrev-list (cdr abbrev-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11806 (nreverse sel-abbrev-list))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11807 (while (and he-expand-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11808 (or (not (stringp (car he-expand-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11809 (he-string-member (car he-expand-list) he-tried-table t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11810 ; (equal (car he-expand-list) he-search-string)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11811 (unless (stringp (car he-expand-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11812 (setq vhdl-expand-upper-case (car he-expand-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11813 (setq he-expand-list (cdr he-expand-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11814 (if (null he-expand-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11815 (progn (when old (he-reset-string))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11816 nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11817 (he-substitute-string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11818 (if vhdl-expand-upper-case
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11819 (upcase (car he-expand-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11820 (car he-expand-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11821 t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11822 (setq he-expand-list (cdr he-expand-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11823 t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11824
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11825 (defun vhdl-he-list-beg ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11826 "Also looks at the word before `(' in order to better match parenthesized
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11827 expressions (e.g. for index ranges of types and signals)."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11828 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11829 (condition-case ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11830 (progn (backward-up-list 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11831 (skip-syntax-backward "w_")) ; crashes in `viper-mode'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11832 (error ()))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11833 (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11834
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11835 ;; override `he-list-beg' from `hippie-exp'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11836 (unless (and (boundp 'viper-mode) viper-mode)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11837 (defalias 'he-list-beg 'vhdl-he-list-beg))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11838
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11839 ;; function for expanding abbrevs and dabbrevs
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11840 (defun vhdl-expand-abbrev (arg))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11841 (fset 'vhdl-expand-abbrev (make-hippie-expand-function
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11842 '(try-expand-dabbrev
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11843 try-expand-dabbrev-all-buffers
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11844 vhdl-try-expand-abbrev)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11845
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11846 ;; function for expanding parenthesis
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11847 (defun vhdl-expand-paren (arg))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11848 (fset 'vhdl-expand-paren (make-hippie-expand-function
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11849 '(try-expand-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11850 try-expand-list-all-buffers)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11851
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11852 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11853 ;; Case fixing
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11854
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11855 (defun vhdl-fix-case-region-1 (beg end upper-case word-regexp &optional count)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11856 "Convert all words matching word-regexp in region to lower or upper case,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11857 depending on parameter upper-case."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11858 (let ((case-replace nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11859 (last-update 0))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11860 (vhdl-prepare-search-2
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11861 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11862 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11863 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11864 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11865 (while (re-search-forward word-regexp end t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11866 (or (vhdl-in-literal)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11867 (if upper-case
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11868 (upcase-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11869 (downcase-word -1)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11870 (when (and count vhdl-progress-interval (not noninteractive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11871 (< vhdl-progress-interval
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11872 (- (nth 1 (current-time)) last-update)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11873 (message "Fixing case... (%2d%s)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11874 (+ (* count 25) (/ (* 25 (- (point) beg)) (- end beg)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11875 "%")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11876 (setq last-update (nth 1 (current-time)))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11877 (goto-char end)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11878
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11879 (defun vhdl-fix-case-region (beg end &optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11880 "Convert all VHDL words in region to lower or upper case, depending on
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11881 options vhdl-upper-case-{keywords,types,attributes,enum-values}."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11882 (interactive "r\nP")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11883 (vhdl-fix-case-region-1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11884 beg end vhdl-upper-case-keywords vhdl-keywords-regexp 0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11885 (vhdl-fix-case-region-1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11886 beg end vhdl-upper-case-types vhdl-types-regexp 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11887 (vhdl-fix-case-region-1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11888 beg end vhdl-upper-case-attributes (concat "'" vhdl-attributes-regexp) 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11889 (vhdl-fix-case-region-1
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11890 beg end vhdl-upper-case-enum-values vhdl-enum-values-regexp 3)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11891 (when vhdl-progress-interval (message "Fixing case...done")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11892
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11893 (defun vhdl-fix-case-buffer ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11894 "Convert all VHDL words in buffer to lower or upper case, depending on
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11895 options vhdl-upper-case-{keywords,types,attributes,enum-values}."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11896 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11897 (vhdl-fix-case-region (point-min) (point-max)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11898
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11899 (defun vhdl-fix-case-word (&optional arg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11900 "Convert word after cursor to upper case if necessary."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11901 (interactive "p")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11902 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11903 (when arg (backward-word 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11904 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11905 (when (and vhdl-upper-case-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11906 (looking-at vhdl-keywords-regexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11907 (upcase-word 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11908 (when (and vhdl-upper-case-types
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11909 (looking-at vhdl-types-regexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11910 (upcase-word 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11911 (when (and vhdl-upper-case-attributes
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11912 (looking-at vhdl-attributes-regexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11913 (upcase-word 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11914 (when (and vhdl-upper-case-enum-values
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11915 (looking-at vhdl-enum-values-regexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11916 (upcase-word 1)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11917
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11918 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11919 ;; Line handling functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11920
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11921 (defun vhdl-current-line ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11922 "Return the line number of the line containing point."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11923 (save-restriction
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11924 (widen)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11925 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11926 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11927 (1+ (count-lines 1 (point))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11928
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11929 (defun vhdl-line-kill-entire (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11930 "Delete entire line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11931 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11932 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11933 (kill-line (or arg 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11934
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11935 (defun vhdl-line-kill (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11936 "Kill current line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11937 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11938 (vhdl-line-kill-entire arg))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11939
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11940 (defun vhdl-line-copy (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11941 "Copy current line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11942 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11943 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11944 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11945 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11946 (forward-line (or arg 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11947 (copy-region-as-kill position (point)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11948
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11949 (defun vhdl-line-yank ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11950 "Yank entire line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11951 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11952 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11953 (yank))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11954
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11955 (defun vhdl-line-expand (&optional prefix-arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11956 "Hippie-expand current line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11957 (interactive "P")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11958 (let ((case-fold-search t) (case-replace nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11959 (hippie-expand-try-functions-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11960 '(try-expand-line try-expand-line-all-buffers)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11961 (hippie-expand prefix-arg)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11962
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11963 (defun vhdl-line-transpose-next (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11964 "Interchange this line with next line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11965 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11966 (forward-line 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11967 (transpose-lines (or arg 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11968 (forward-line -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11969
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11970 (defun vhdl-line-transpose-previous (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11971 "Interchange this line with previous line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11972 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11973 (forward-line 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11974 (transpose-lines (- 0 (or arg 0)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11975 (forward-line -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11976
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11977 (defun vhdl-line-open ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11978 "Open a new line and indent."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11979 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11980 (end-of-line -0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11981 (newline-and-indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11982
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11983 (defun vhdl-delete-indentation ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11984 "Join lines. That is, call `delete-indentation' with `fill-prefix' so that
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11985 it works within comments too."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11986 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11987 (let ((fill-prefix "-- "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11988 (delete-indentation)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11989
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11990 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11991 ;; Move functions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11992
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11993 (defun vhdl-forward-same-indent ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11994 "Move forward to next line with same indent."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11995 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11996 (let ((pos (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11997 (indent (current-indentation)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11998 (beginning-of-line 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11999 (while (and (not (eobp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12000 (or (looking-at "^\\s-*\\(--.*\\)?$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12001 (> (current-indentation) indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12002 (beginning-of-line 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12003 (if (= (current-indentation) indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12004 (back-to-indentation)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12005 (message "No following line with same indent found in this block")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12006 (goto-char pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12007 nil)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12008
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12009 (defun vhdl-backward-same-indent ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12010 "Move backward to previous line with same indent."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12011 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12012 (let ((pos (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12013 (indent (current-indentation)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12014 (beginning-of-line -0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12015 (while (and (not (bobp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12016 (or (looking-at "^\\s-*\\(--.*\\)?$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12017 (> (current-indentation) indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12018 (beginning-of-line -0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12019 (if (= (current-indentation) indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12020 (back-to-indentation)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12021 (message "No preceding line with same indent found in this block")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12022 (goto-char pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12023 nil)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12024
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12025 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12026 ;; Statistics
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12027
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12028 (defun vhdl-statistics-buffer ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12029 "Get some file statistics."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12030 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12031 (let ((no-stats 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12032 (no-code-lines 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12033 (no-lines (count-lines (point-min) (point-max))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12034 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12035 ;; count statements
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12036 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12037 (while (re-search-forward "\\(--.*\n\\|\"[^\"\n]*[\"\n]\\)\\|;" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12038 (if (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12039 (goto-char (match-end 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12040 (setq no-stats (1+ no-stats))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12041 ;; count code lines
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12042 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12043 (while (not (eobp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12044 (unless (looking-at "^\\s-*\\(--.*\\)?$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12045 (setq no-code-lines (1+ no-code-lines)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12046 (beginning-of-line 2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12047 ;; print results
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12048 (message "\n\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12049 File statistics: \"%s\"\n\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12050 ---------------------\n\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12051 # statements : %5d\n\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12052 # code lines : %5d\n\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12053 # total lines : %5d\n\ "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12054 (buffer-file-name) no-stats no-code-lines no-lines)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12055 (unless vhdl-emacs-21 (vhdl-show-messages))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12056
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12057 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12058 ;; Help functions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12059
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12060 (defun vhdl-re-search-forward (regexp &optional bound noerror count)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12061 "Like `re-search-forward', but does not match within literals."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12062 (let (pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12063 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12064 (while (and (setq pos (re-search-forward regexp bound noerror count))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12065 (vhdl-in-literal))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12066 (when pos (goto-char pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12067 pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12068
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12069 (defun vhdl-re-search-backward (regexp &optional bound noerror count)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12070 "Like `re-search-backward', but does not match within literals."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12071 (let (pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12072 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12073 (while (and (setq pos (re-search-backward regexp bound noerror count))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12074 (vhdl-in-literal))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12075 (when pos (goto-char pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12076 pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12077
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12078
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12079 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12080 ;;; Project
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12081 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12082
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12083 (defun vhdl-set-project (name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12084 "Set current project to NAME."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12085 (interactive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12086 (list (let ((completion-ignore-case t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12087 (completing-read "Project name: " vhdl-project-alist nil t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12088 (cond ((equal name "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12089 (setq vhdl-project nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12090 (message "Current VHDL project: None"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12091 ((assoc name vhdl-project-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12092 (setq vhdl-project name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12093 (message "Current VHDL project: \"%s\"" name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12094 (t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12095 (vhdl-warning (format "Unknown VHDL project: \"%s\"" name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12096 (vhdl-speedbar-update-current-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12097
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12098 (defun vhdl-toggle-project (name token indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12099 "Set current project to NAME or unset if NAME is current project."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12100 (vhdl-set-project (if (equal name vhdl-project) "" name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12101
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12102 (defun vhdl-export-project (file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12103 "Write project setup for current project."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12104 (interactive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12105 (let ((name (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12106 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12107 (cons "\\(.*\\) \\(.*\\)" (car vhdl-project-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12108 (concat (subst-char-in-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12109 ? ?_ (or (vhdl-project-p)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12110 (error "ERROR: No current project")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12111 " " (user-login-name))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12112 (list (read-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12113 "Write project file: "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12114 (when (file-name-absolute-p name) "") nil nil name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12115 (setq file-name (abbreviate-file-name file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12116 (let ((orig-buffer (current-buffer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12117 (unless (file-exists-p (file-name-directory file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12118 (make-directory (file-name-directory file-name) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12119 (if (not (file-writable-p file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12120 (error "ERROR: File not writable: \"%s\"" file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12121 (set-buffer (find-file-noselect file-name t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12122 (erase-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12123 (insert ";; -*- Emacs-Lisp -*-\n\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12124 ";;; " (file-name-nondirectory file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12125 " - project setup file for Emacs VHDL Mode " vhdl-version "\n\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12126 ";; Project : " vhdl-project "\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12127 ";; Saved : " (format-time-string "%Y-%m-%d %T ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12128 (user-login-name) "\n\n\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12129 ";; project name\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12130 "(setq vhdl-project \"" vhdl-project "\")\n\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12131 ";; project setup\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12132 "(aput 'vhdl-project-alist vhdl-project\n'")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12133 (pp (aget vhdl-project-alist vhdl-project) (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12134 (insert ")\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12135 (save-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12136 (kill-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12137 (set-buffer orig-buffer))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12138
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12139 (defun vhdl-import-project (file-name &optional auto not-make-current)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12140 "Read project setup and set current project."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12141 (interactive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12142 (let ((name (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12143 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12144 (cons "\\(.*\\) \\(.*\\)" (car vhdl-project-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12145 (concat "" " " (user-login-name))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12146 (list (read-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12147 "Read project file: " (when (file-name-absolute-p name) "") nil t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12148 (file-name-directory name)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12149 (when (file-exists-p file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12150 (condition-case ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12151 (let ((current-project vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12152 (load-file file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12153 (when (/= (length (aget vhdl-project-alist vhdl-project t)) 10)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12154 (adelete 'vhdl-project-alist vhdl-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12155 (error))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12156 (when not-make-current
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12157 (setq vhdl-project current-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12158 (vhdl-update-mode-menu)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12159 (vhdl-speedbar-refresh)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12160 (unless not-make-current
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12161 (message "Current VHDL project: \"%s\"%s"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12162 vhdl-project (if auto " (auto-loaded)" ""))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12163 (error (vhdl-warning
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12164 (format "ERROR: Invalid project setup file: \"%s\"" file-name))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12165
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12166 (defun vhdl-duplicate-project ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12167 "Duplicate setup of current project."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12168 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12169 (let ((new-name (read-from-minibuffer "New project name: "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12170 (project-entry (aget vhdl-project-alist vhdl-project t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12171 (setq vhdl-project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12172 (append vhdl-project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12173 (list (cons new-name project-entry))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12174 (vhdl-update-mode-menu)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12175
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12176 (defun vhdl-auto-load-project ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12177 "Automatically load project setup at startup."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12178 (let ((file-name-list vhdl-project-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12179 file-list list-length)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12180 (while file-name-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12181 (setq file-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12182 (append file-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12183 (file-expand-wildcards
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12184 (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12185 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12186 (cons "\\(.*\\) \\(.*\\)" (car file-name-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12187 (concat "\*" " " (user-login-name)))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12188 (setq list-length (or list-length (length file-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12189 (setq file-name-list (cdr file-name-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12190 (while file-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12191 (vhdl-import-project (expand-file-name (car file-list)) t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12192 (not (> list-length 0)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12193 (setq list-length (1- list-length))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12194 (setq file-list (cdr file-list)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12195
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12196 ;; automatically load project setup when idle after startup
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12197 (when (memq 'startup vhdl-project-auto-load)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12198 (if noninteractive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12199 (vhdl-auto-load-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12200 (vhdl-run-when-idle .1 nil 'vhdl-auto-load-project)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12201
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12202
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12203 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12204 ;;; Hideshow
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12205 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12206 ;; (using `hideshow.el')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12207
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12208 (defconst vhdl-hs-start-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12209 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12210 "\\(^\\)\\s-*\\("
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12211 ;; generic/port clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12212 "\\(generic\\|port\\)[ \t\n]*(\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12213 ;; component
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12214 "component\\>\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12215 ;; component instantiation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12216 "\\(\\w\\|\\s_\\)+[ \t\n]*:[ \t\n]*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12217 "\\(\\(component\\|configuration\\|entity\\)[ \t\n]+\\)?"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12218 "\\(\\w\\|\\s_\\)+\\([ \t\n]*(\\(\\w\\|\\s_\\)+)\\)?[ \t\n]*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12219 "\\(generic\\|port\\)[ \t\n]+map[ \t\n]*(\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12220 ;; subprogram
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12221 "\\(function\\|procedure\\)\\>\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12222 ;; process, block
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12223 "\\(\\(\\w\\|\\s_\\)+[ \t\n]*:[ \t\n]*\\)?\\(process\\|block\\)\\>\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12224 ;; configuration declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12225 "configuration\\>"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12226 "\\)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12227 "Regexp to match start of construct to hide.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12228
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12229 (defun vhdl-hs-forward-sexp-func (count)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12230 "Find end of construct to hide (for hideshow). Only searches forward."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12231 (let ((pos (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12232 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12233 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12234 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12235 ;; generic/port clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12236 ((looking-at "^\\s-*\\(generic\\|port\\)[ \t\n]*(")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12237 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12238 (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12239 (forward-sexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12240 ;; component declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12241 ((looking-at "^\\s-*component\\>")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12242 (re-search-forward "^\\s-*end\\s-+component\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12243 ;; component instantiation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12244 ((looking-at
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12245 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12246 "^\\s-*\\w+\\s-*:[ \t\n]*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12247 "\\(\\(component\\|configuration\\|entity\\)[ \t\n]+\\)?"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12248 "\\w+\\(\\s-*(\\w+)\\)?[ \t\n]*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12249 "\\(generic\\|port\\)\\s-+map[ \t\n]*("))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12250 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12251 (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12252 (forward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12253 (setq pos (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12254 (vhdl-forward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12255 (when (looking-at "port\\s-+map[ \t\n]*(")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12256 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12257 (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12258 (forward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12259 (setq pos (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12260 (goto-char pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12261 ;; subprogram declaration/body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12262 ((looking-at "^\\s-*\\(function\\|procedure\\)\\s-+\\(\\w+\\|\".+\"\\)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12263 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12264 (vhdl-forward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12265 (when (looking-at "(")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12266 (forward-sexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12267 (while (and (re-search-forward "\\(;\\)\\|\\(\\<is\\>\\)" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12268 (vhdl-in-literal)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12269 ;; subprogram body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12270 (when (match-string 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12271 (re-search-forward "^\\s-*\\<begin\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12272 (backward-word 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12273 (vhdl-forward-sexp)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12274 ;; block (recursive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12275 ((looking-at "^\\s-*\\w+\\s-*:\\s-*block\\>")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12276 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12277 (while (and (re-search-forward "^\\s-*\\(\\(\\w+\\s-*:\\s-*block\\>\\)\\|\\(end\\s-+block\\>\\)\\)" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12278 (match-beginning 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12279 (vhdl-hs-forward-sexp-func count)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12280 ;; process
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12281 ((looking-at "^\\s-*\\(\\w+\\s-*:\\s-*\\)?process\\>")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12282 (re-search-forward "^\\s-*end\\s-+process\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12283 ;; configuration declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12284 ((looking-at "^\\s-*configuration\\>")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12285 (forward-word 4)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12286 (vhdl-forward-sexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12287 (t (goto-char pos))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12288
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12289 (defun vhdl-hideshow-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12290 "Initialize `hideshow'."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12291 (when vhdl-hideshow-menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12292 (vhdl-hs-minor-mode 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12293
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12294 (defun vhdl-hs-minor-mode (&optional arg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12295 "Toggle hideshow minor mode and update menu bar."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12296 (interactive "P")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12297 (require 'hideshow)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12298 ;; check for hideshow version 5.x
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12299 (if (not (boundp 'hs-block-start-mdata-select))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12300 (vhdl-warning-when-idle "Install included `hideshow.el' patch first (see INSTALL file)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12301 ;; initialize hideshow
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12302 (unless (assoc 'vhdl-mode hs-special-modes-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12303 (setq hs-special-modes-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12304 (cons (list 'vhdl-mode vhdl-hs-start-regexp nil "--\\( \\|$\\)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12305 'vhdl-hs-forward-sexp-func nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12306 hs-special-modes-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12307 (make-local-variable 'hs-minor-mode-hook)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12308 (if vhdl-hide-all-init
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12309 (add-hook 'hs-minor-mode-hook 'hs-hide-all)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12310 (remove-hook 'hs-minor-mode-hook 'hs-hide-all))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12311 (hs-minor-mode arg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12312 (vhdl-mode-line-update))) ; hack to update menu bar
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12313
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12314
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12315 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12316 ;;; Font locking
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12317 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12318 ;; (using `font-lock.el')
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12319
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12320 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12321 ;; Help functions
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12322
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12323 (defun vhdl-within-translate-off ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12324 "Return point if within translate-off region, else nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12325 (and (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12326 (re-search-backward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12327 "^\\s-*--\\s-*pragma\\s-*translate_\\(on\\|off\\)\\s-*\n" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12328 (equal "off" (match-string 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12329 (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12330
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12331 (defun vhdl-start-translate-off (limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12332 "Return point before translate-off pragma if before LIMIT, else nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12333 (when (re-search-forward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12334 "^\\s-*--\\s-*pragma\\s-*translate_off\\s-*\n" limit t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12335 (match-beginning 0)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12336
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12337 (defun vhdl-end-translate-off (limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12338 "Return point after translate-on pragma if before LIMIT, else nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12339 (re-search-forward "^\\s-*--\\s-*pragma\\s-*translate_on\\s-*\n" limit t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12340
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12341 (defun vhdl-match-translate-off (limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12342 "Match a translate-off block, setting match-data and returning t, else nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12343 (when (< (point) limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12344 (let ((start (or (vhdl-within-translate-off)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12345 (vhdl-start-translate-off limit)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12346 (case-fold-search t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12347 (when start
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12348 (let ((end (or (vhdl-end-translate-off limit) limit)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12349 (set-match-data (list start end))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12350 (goto-char end))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12351
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12352 (defun vhdl-font-lock-match-item (limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12353 "Match, and move over, any declaration item after point. Adapted from
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12354 `font-lock-match-c-style-declaration-item-and-skip-to-next'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12355 (condition-case nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12356 (save-restriction
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12357 (narrow-to-region (point-min) limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12358 ;; match item
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12359 (when (looking-at "\\s-*\\([a-zA-Z]\\w*\\)")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12360 (save-match-data
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12361 (goto-char (match-end 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12362 ;; move to next item
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12363 (if (looking-at "\\(\\s-*,\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12364 (goto-char (match-end 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12365 (end-of-line) t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12366 (error t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12367
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12368 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12369 ;; Syntax definitions
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12370
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12371 (defconst vhdl-font-lock-syntactic-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12372 '(("\\(\'\\).\\(\'\\)" (1 (7 . ?\')) (2 (7 . ?\'))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12373 "Mark single quotes as having string quote syntax in 'c' instances.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12374
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12375 (defvar vhdl-font-lock-keywords nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12376 "Regular expressions to highlight in VHDL Mode.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12377
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12378 (defvar vhdl-font-lock-keywords-0
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12379 ;; set in `vhdl-font-lock-init' because dependent on user options
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12380 "For consideration as a value of `vhdl-font-lock-keywords'.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12381 This does highlighting of template prompts and directives (pragmas).")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12382
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12383 (defvar vhdl-font-lock-keywords-1 nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12384 ;; set in `vhdl-font-lock-init' because dependent on user options
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12385 "For consideration as a value of `vhdl-font-lock-keywords'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12386 This does highlighting of keywords and standard identifiers.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12387
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12388 (defconst vhdl-font-lock-keywords-2
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12389 (list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12390 ;; highlight names of units, subprograms, and components when declared
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12391 (list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12392 (concat
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12393 "^\\s-*\\("
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12394 "architecture\\|configuration\\|entity\\|package\\(\\s-+body\\)?\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12395 "\\(\\(impure\\|pure\\)\\s-+\\)?function\\|procedure\\|component"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12396 "\\)\\s-+\\(\\w+\\)")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12397 5 'font-lock-function-name-face)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12398
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12399 ;; highlight entity names of architectures and configurations
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12400 (list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12401 "^\\s-*\\(architecture\\|configuration\\)\\s-+\\w+\\s-+of\\s-+\\(\\w+\\)"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12402 2 'font-lock-function-name-face)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12403
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12404 ;; highlight labels of common constructs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12405 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12406 (concat
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12407 "^\\s-*\\(\\w+\\)\\s-*:[ \t\n]*\\(\\("
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12408 "assert\\|block\\|case\\|exit\\|for\\|if\\|loop\\|next\\|null\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12409 "postponed\\|process\\|"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12410 (when (vhdl-standard-p 'ams) "procedural\\|")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12411 "with\\|while"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12412 "\\)\\>\\|\\w+\\s-*\\(([^\n]*)\\)*\\s-*<=\\)")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12413 1 'font-lock-function-name-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12414
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12415 ;; highlight label and component name of component instantiations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12416 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12417 (concat
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12418 "^\\s-*\\(\\w+\\)\\s-*:[ \t\n]*\\(\\w+\\)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12419 "\\(\\s-*\\(--[^\n]*\\)?$\\|\\s-+\\(generic\\|port\\)\\s-+map\\>\\)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12420 '(1 font-lock-function-name-face) '(2 font-lock-function-name-face))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12421
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12422 ;; highlight label and instantiated unit of component instantiations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12423 (list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12424 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12425 "^\\s-*\\(\\w+\\)\\s-*:[ \t\n]*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12426 "\\(component\\|configuration\\|entity\\)\\s-+"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12427 "\\(\\w+\\)\\(\\.\\(\\w+\\)\\)?\\(\\s-*(\\(\\w+\\))\\)?")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12428 '(1 font-lock-function-name-face) '(3 font-lock-function-name-face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12429 '(5 font-lock-function-name-face nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12430 '(7 font-lock-function-name-face nil t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12431
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12432 ;; highlight names and labels at end of constructs
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12433 (list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12434 (concat
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12435 "^\\s-*end\\s-+\\(\\("
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12436 "architecture\\|block\\|case\\|component\\|configuration\\|entity\\|"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12437 "for\\|function\\|generate\\|if\\|loop\\|package\\(\\s-+body\\)?\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12438 "procedure\\|\\(postponed\\s-+\\)?process\\|"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12439 (when (vhdl-standard-p 'ams) "procedural\\|")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12440 "units"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12441 "\\)\\s-+\\)?\\(\\w*\\)")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12442 5 'font-lock-function-name-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12443
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12444 ;; highlight labels in exit and next statements
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12445 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12446 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12447 "^\\s-*\\(\\w+\\s-*:\\s-*\\)?\\(exit\\|next\\)\\s-+\\(\\w*\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12448 3 'font-lock-function-name-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12449
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12450 ;; highlight entity name in attribute specifications
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12451 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12452 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12453 "^\\s-*attribute\\s-+\\w+\\s-+of\\s-+\\(\\w+\\(,\\s-*\\w+\\)*\\)\\s-*:")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12454 1 'font-lock-function-name-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12455
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12456 ;; highlight labels in block and component specifications
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12457 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12458 (concat
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12459 "^\\s-*for\\s-+\\(\\w+\\(,\\s-*\\w+\\)*\\)\\>\\s-*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12460 "\\(:[ \t\n]*\\(\\w+\\)\\|[^i \t]\\)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12461 '(1 font-lock-function-name-face) '(4 font-lock-function-name-face nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12462
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12463 ;; highlight names in library clauses
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12464 (list "^\\s-*library\\>"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12465 '(vhdl-font-lock-match-item nil nil (1 font-lock-function-name-face)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12466
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12467 ;; highlight names in use clauses
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12468 (list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12469 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12470 "\\<use\\s-+\\(\\(entity\\|configuration\\)\\s-+\\)?"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12471 "\\(\\w+\\)\\(\\.\\(\\w+\\)\\)?\\((\\(\\w+\\))\\)?")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12472 '(3 font-lock-function-name-face) '(5 font-lock-function-name-face nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12473 '(7 font-lock-function-name-face nil t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12474
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12475 ;; highlight attribute name in attribute declarations/specifications
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12476 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12477 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12478 "^\\s-*attribute\\s-+\\(\\w+\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12479 1 'vhdl-font-lock-attribute-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12480
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12481 ;; highlight type/nature name in (sub)type/(sub)nature declarations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12482 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12483 (concat
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12484 "^\\s-*\\(sub\\)?\\(nature\\|type\\)\\s-+\\(\\w+\\)")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12485 3 'font-lock-type-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12486
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12487 ;; highlight signal/variable/constant declaration names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12488 (list "\\(:[^=]\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12489 '(vhdl-font-lock-match-item
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12490 (progn (goto-char (match-beginning 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12491 (skip-syntax-backward " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12492 (skip-syntax-backward "w_")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12493 (skip-syntax-backward " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12494 (while (= (preceding-char) ?,)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12495 (backward-char 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12496 (skip-syntax-backward " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12497 (skip-syntax-backward "w_")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12498 (skip-syntax-backward " ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12499 ; (skip-chars-backward "^-(\n\";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12500 (goto-char (match-end 1)) (1 font-lock-variable-name-face)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12501
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12502 ;; highlight formal parameters in component instantiations and subprogram
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12503 ;; calls
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12504 (list "\\(=>\\)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12505 '(vhdl-font-lock-match-item
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12506 (progn (goto-char (match-beginning 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12507 (skip-syntax-backward " ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12508 (while (= (preceding-char) ?\)) (backward-sexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12509 (skip-syntax-backward "w_")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12510 (skip-syntax-backward " ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12511 (when (memq (preceding-char) '(?n ?N))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12512 (goto-char (point-max))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12513 (goto-char (match-end 1)) (1 font-lock-variable-name-face)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12514
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12515 ;; highlight alias/group/quantity declaration names and for-loop/-generate
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12516 ;; variables
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12517 (list "\\<\\(alias\\|for\\|group\\|quantity\\)\\s-+\\w+\\s-+\\(across\\|in\\|is\\)\\>"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12518 '(vhdl-font-lock-match-item
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12519 (progn (goto-char (match-end 1)) (match-beginning 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12520 nil (1 font-lock-variable-name-face)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12521 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12522 "For consideration as a value of `vhdl-font-lock-keywords'.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12523 This does context sensitive highlighting of names and labels.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12524
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12525 (defvar vhdl-font-lock-keywords-3 nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12526 ;; set in `vhdl-font-lock-init' because dependent on user options
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12527 "For consideration as a value of `vhdl-font-lock-keywords'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12528 This does highlighting of words with special syntax.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12529
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12530 (defvar vhdl-font-lock-keywords-4 nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12531 ;; set in `vhdl-font-lock-init' because dependent on user options
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12532 "For consideration as a value of `vhdl-font-lock-keywords'.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12533 This does highlighting of additional reserved words.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12534
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12535 (defconst vhdl-font-lock-keywords-5
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12536 ;; background highlight translate-off regions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12537 '((vhdl-match-translate-off (0 vhdl-font-lock-translate-off-face append)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12538 "For consideration as a value of `vhdl-font-lock-keywords'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12539 This does background highlighting of translate-off regions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12540
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12541 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12542 ;; Font and color definitions
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12543
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12544 (defvar vhdl-font-lock-prompt-face 'vhdl-font-lock-prompt-face
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12545 "Face name to use for prompts.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12546
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12547 (defvar vhdl-font-lock-attribute-face 'vhdl-font-lock-attribute-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12548 "Face name to use for standardized attributes.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12549
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12550 (defvar vhdl-font-lock-enumvalue-face 'vhdl-font-lock-enumvalue-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12551 "Face name to use for standardized enumeration values.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12552
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12553 (defvar vhdl-font-lock-function-face 'vhdl-font-lock-function-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12554 "Face name to use for standardized functions and packages.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12555
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12556 (defvar vhdl-font-lock-directive-face 'vhdl-font-lock-directive-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12557 "Face name to use for directives.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12558
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12559 (defvar vhdl-font-lock-reserved-words-face 'vhdl-font-lock-reserved-words-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12560 "Face name to use for additional reserved words.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12561
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12562 (defvar vhdl-font-lock-translate-off-face 'vhdl-font-lock-translate-off-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12563 "Face name to use for translate-off regions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12564
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12565 ;; face names to use for words with special syntax.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12566 (let ((syntax-alist vhdl-special-syntax-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12567 name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12568 (while syntax-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12569 (setq name (vhdl-function-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12570 "vhdl-font-lock" (nth 0 (car syntax-alist)) "face"))
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12571 (eval `(defvar ,name ',name
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12572 ,(concat "Face name to use for "
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12573 (nth 0 (car syntax-alist)) ".")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12574 (setq syntax-alist (cdr syntax-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12575
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12576 (defgroup vhdl-highlight-faces nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12577 "Faces for highlighting."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12578 :group 'vhdl-highlight)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12579
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12580 ;; add faces used from `font-lock'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12581 (custom-add-to-group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12582 'vhdl-highlight-faces 'font-lock-comment-face 'custom-face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12583 (custom-add-to-group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12584 'vhdl-highlight-faces 'font-lock-string-face 'custom-face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12585 (custom-add-to-group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12586 'vhdl-highlight-faces 'font-lock-keyword-face 'custom-face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12587 (custom-add-to-group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12588 'vhdl-highlight-faces 'font-lock-type-face 'custom-face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12589 (custom-add-to-group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12590 'vhdl-highlight-faces 'font-lock-function-name-face 'custom-face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12591 (custom-add-to-group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12592 'vhdl-highlight-faces 'font-lock-variable-name-face 'custom-face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12593
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12594 (defface vhdl-font-lock-prompt-face
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12595 '((((class color) (background light)) (:foreground "Red" :bold t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12596 (((class color) (background dark)) (:foreground "Pink" :bold t))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12597 (t (:inverse-video t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12598 "Font lock mode face used to highlight prompts."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12599 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12600 :group 'font-lock-highlighting-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12601
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12602 (defface vhdl-font-lock-attribute-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12603 '((((class color) (background light)) (:foreground "Orchid"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12604 (((class color) (background dark)) (:foreground "LightSteelBlue"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12605 (t (:italic t :bold t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12606 "Font lock mode face used to highlight standardized attributes."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12607 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12608 :group 'font-lock-highlighting-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12609
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12610 (defface vhdl-font-lock-enumvalue-face
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12611 '((((class color) (background light)) (:foreground "SaddleBrown"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12612 (((class color) (background dark)) (:foreground "BurlyWood"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12613 (t (:italic t :bold t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12614 "Font lock mode face used to highlight standardized enumeration values."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12615 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12616 :group 'font-lock-highlighting-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12617
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12618 (defface vhdl-font-lock-function-face
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12619 '((((class color) (background light)) (:foreground "Cyan4"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12620 (((class color) (background dark)) (:foreground "Orchid1"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12621 (t (:italic t :bold t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12622 "Font lock mode face used to highlight standardized functions and packages."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12623 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12624 :group 'font-lock-highlighting-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12625
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12626 (defface vhdl-font-lock-directive-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12627 '((((class color) (background light)) (:foreground "CadetBlue"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12628 (((class color) (background dark)) (:foreground "Aquamarine"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12629 (t (:italic t :bold t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12630 "Font lock mode face used to highlight directives."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12631 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12632 :group 'font-lock-highlighting-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12633
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12634 (defface vhdl-font-lock-reserved-words-face
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12635 '((((class color) (background light)) (:foreground "Orange" :bold t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12636 (((class color) (background dark)) (:foreground "Yellow" :bold t))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12637 (t ()))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12638 "Font lock mode face used to highlight additional reserved words."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12639 :group 'vhdl-highlight-faces
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12640 :group 'font-lock-highlighting-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12641
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12642 (defface vhdl-font-lock-translate-off-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12643 '((((class color) (background light)) (:background "LightGray"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12644 (((class color) (background dark)) (:background "DimGray"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12645 (t ()))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12646 "Font lock mode face used to background highlight translate-off regions."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12647 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12648 :group 'font-lock-highlighting-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12649
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12650 ;; font lock mode faces used to highlight words with special syntax.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12651 (let ((syntax-alist vhdl-special-syntax-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12652 (while syntax-alist
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12653 (eval `(defface ,(vhdl-function-name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12654 "vhdl-font-lock" (caar syntax-alist) "face")
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12655 '((((class color) (background light))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12656 (:foreground ,(nth 2 (car syntax-alist))))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12657 (((class color) (background dark))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12658 (:foreground ,(nth 3 (car syntax-alist))))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12659 (t ()))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12660 ,(concat "Font lock mode face used to highlight "
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12661 (nth 0 (car syntax-alist)) ".")
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12662 :group 'vhdl-highlight-faces
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12663 :group 'font-lock-highlighting-faces))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12664 (setq syntax-alist (cdr syntax-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12665
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12666 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12667 ;; Font lock initialization
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12668
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12669 (defun vhdl-font-lock-init ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12670 "Initialize fontification."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12671 ;; highlight template prompts and directives
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12672 (setq vhdl-font-lock-keywords-0
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12673 (list (list (concat "\\(^\\|[ \t(.']\\)\\(<"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12674 vhdl-template-prompt-syntax ">\\)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12675 2 'vhdl-font-lock-prompt-face t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12676 (list (concat "--\\s-*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12677 vhdl-directive-keywords-regexp "\\s-+\\(.*\\)$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12678 2 'vhdl-font-lock-directive-face t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12679 ;; highlight keywords and standardized types, attributes, enumeration
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12680 ;; values, and subprograms
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12681 (setq vhdl-font-lock-keywords-1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12682 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12683 (list (concat "'" vhdl-attributes-regexp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12684 1 'vhdl-font-lock-attribute-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12685 (list vhdl-types-regexp 1 'font-lock-type-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12686 (list vhdl-functions-regexp 1 'vhdl-font-lock-function-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12687 (list vhdl-packages-regexp 1 'vhdl-font-lock-function-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12688 (list vhdl-enum-values-regexp 1 'vhdl-font-lock-enumvalue-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12689 (list vhdl-keywords-regexp 1 'font-lock-keyword-face)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12690 ;; highlight words with special syntax.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12691 (setq vhdl-font-lock-keywords-3
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12692 (let ((syntax-alist vhdl-special-syntax-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12693 keywords)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12694 (while syntax-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12695 (setq keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12696 (cons
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12697 (cons (concat "\\<\\(" (nth 1 (car syntax-alist)) "\\)\\>")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12698 (vhdl-function-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12699 "vhdl-font-lock" (nth 0 (car syntax-alist)) "face"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12700 keywords))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12701 (setq syntax-alist (cdr syntax-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12702 keywords))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12703 ;; highlight additional reserved words
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12704 (setq vhdl-font-lock-keywords-4
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12705 (list (list vhdl-reserved-words-regexp 1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12706 'vhdl-font-lock-reserved-words-face)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12707 ;; highlight everything together
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12708 (setq vhdl-font-lock-keywords
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12709 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12710 vhdl-font-lock-keywords-0
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12711 (when vhdl-highlight-keywords vhdl-font-lock-keywords-1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12712 (when (or vhdl-highlight-forbidden-words
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12713 vhdl-highlight-verilog-keywords) vhdl-font-lock-keywords-4)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12714 (when vhdl-highlight-special-words vhdl-font-lock-keywords-3)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12715 (when vhdl-highlight-names vhdl-font-lock-keywords-2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12716 (when vhdl-highlight-translate-off vhdl-font-lock-keywords-5))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12717
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12718 ;; initialize fontification for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12719 (vhdl-font-lock-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12720
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12721 (defun vhdl-fontify-buffer ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12722 "Re-initialize fontification and fontify buffer."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12723 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12724 (setq font-lock-defaults
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12725 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12726 'vhdl-font-lock-keywords nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12727 (not vhdl-highlight-case-sensitive) '((?\_ . "w")) 'beginning-of-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12728 '(font-lock-syntactic-keywords . vhdl-font-lock-syntactic-keywords)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12729 (when (fboundp 'font-lock-unset-defaults)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12730 (font-lock-unset-defaults)) ; not implemented in XEmacs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12731 (font-lock-set-defaults)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12732 (font-lock-mode nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12733 (font-lock-mode t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12734
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12735 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12736 ;; Initialization for postscript printing
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12737
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12738 (defun vhdl-ps-print-settings ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12739 "Initialize custom face and page settings for postscript printing."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12740 ;; define custom face settings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12741 (unless (or (not vhdl-print-customize-faces)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12742 ps-print-color-p)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12743 (set (make-local-variable 'ps-bold-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12744 '(font-lock-keyword-face
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12745 font-lock-type-face
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12746 vhdl-font-lock-attribute-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12747 vhdl-font-lock-enumvalue-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12748 vhdl-font-lock-directive-face))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12749 (set (make-local-variable 'ps-italic-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12750 '(font-lock-comment-face
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12751 font-lock-function-name-face
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12752 font-lock-type-face
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12753 vhdl-font-lock-attribute-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12754 vhdl-font-lock-enumvalue-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12755 vhdl-font-lock-directive-face))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12756 (set (make-local-variable 'ps-underlined-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12757 '(font-lock-string-face))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12758 (setq ps-always-build-face-reference t))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12759 ;; define page settings, so that a line containing 79 characters (default)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12760 ;; fits into one column
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12761 (when vhdl-print-two-column
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12762 (set (make-local-variable 'ps-landscape-mode) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12763 (set (make-local-variable 'ps-number-of-columns) 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12764 (set (make-local-variable 'ps-font-size) 7.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12765 (set (make-local-variable 'ps-header-title-font-size) 10.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12766 (set (make-local-variable 'ps-header-font-size) 9.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12767 (set (make-local-variable 'ps-header-offset) 12.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12768 (when (eq ps-paper-type 'letter)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12769 (set (make-local-variable 'ps-inter-column) 40.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12770 (set (make-local-variable 'ps-left-margin) 40.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12771 (set (make-local-variable 'ps-right-margin) 40.0))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12772
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12773 (defun vhdl-ps-print-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12774 "Initialize postscript printing."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12775 (if vhdl-xemacs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12776 (when (boundp 'ps-print-color-p)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12777 (vhdl-ps-print-settings))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12778 (make-local-variable 'ps-print-hook)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12779 (add-hook 'ps-print-hook 'vhdl-ps-print-settings)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12780
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12781
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12782 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12783 ;;; Hierarchy browser (using `speedbar.el')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12784 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12785 ;; Allows displaying the hierarchy of all VHDL design units contained in a
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12786 ;; directory by using the speedbar.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12787
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12788 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12789 ;; Variables
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12790
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12791 (defvar vhdl-entity-alist nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12792 "Cache with entities and corresponding architectures for each
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12793 project/directory.")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12794 ;; structure: (parenthesised expression means list of such entries)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12795 ;; (cache-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12796 ;; (ent-key ent-name ent-file ent-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12797 ;; (arch-key arch-name arch-file arch-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12798 ;; (inst-key inst-name inst-file inst-line inst-comp-name inst-ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12799 ;; inst-arch-key inst-conf-key inst-lib-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12800 ;; (lib-name pack-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12801 ;; (lib-name pack-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12802
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12803 (defvar vhdl-config-alist nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12804 "Cache with configurations for each project/directory.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12805 ;; structure: (parenthesised expression means list of such entries)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12806 ;; (cache-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12807 ;; (conf-key conf-name conf-file conf-line ent-key arch-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12808 ;; (inst-key inst-comp-name inst-ent-key inst-arch-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12809 ;; inst-conf-key inst-lib-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12810 ;; (lib-name pack-key)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12811
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12812 (defvar vhdl-package-alist nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12813 "Cache with packages for each project/directory.")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12814 ;; structure: (parenthesised expression means list of such entries)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12815 ;; (cache-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12816 ;; (pack-key pack-name pack-file pack-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12817 ;; (comp-key comp-name comp-file comp-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12818 ;; (func-key func-name func-file func-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12819 ;; (lib-name pack-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12820 ;; pack-body-file pack-body-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12821 ;; (func-key func-name func-body-file func-body-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12822 ;; (lib-name pack-key)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12823
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12824 (defvar vhdl-ent-inst-alist nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12825 "Cache with instantiated entities for each project/directory.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12826 ;; structure: (parenthesised expression means list of such entries)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12827 ;; (cache-key (inst-ent-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12828
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12829 (defvar vhdl-file-alist nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12830 "Cache with design units in each file for each project/directory.")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12831 ;; structure: (parenthesised expression means list of such entries)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12832 ;; (cache-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12833 ;; (file-name (ent-list) (arch-list) (arch-ent-list) (conf-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12834 ;; (pack-list) (pack-body-list) (inst-list) (inst-ent-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12835
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12836 (defvar vhdl-directory-alist nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12837 "Cache with source directories for each project.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12838 ;; structure: (parenthesised expression means list of such entries)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12839 ;; (cache-key (directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12840
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12841 (defvar vhdl-speedbar-shown-unit-alist nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12842 "Alist of design units simultaneously open in the current speedbar for each
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12843 directory and project.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12844
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12845 (defvar vhdl-speedbar-shown-project-list nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12846 "List of projects simultaneously open in the current speedbar.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12847
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12848 (defvar vhdl-updated-project-list nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12849 "List of projects and directories with updated files.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12850
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12851 (defvar vhdl-modified-file-list nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12852 "List of modified files to be rescanned for hierarchy updating.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12853
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12854 (defvar vhdl-speedbar-hierarchy-depth 0
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12855 "Depth of instantiation hierarchy to display.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12856
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12857 (defvar vhdl-speedbar-show-projects nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12858 "Non-nil means project hierarchy is displayed in speedbar, directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12859 hierarchy otherwise.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12860
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12861 (defun vhdl-get-end-of-unit ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12862 "Return position of end of current unit."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12863 (let ((pos (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12864 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12865 (while (and (re-search-forward "^[ \t]*\\(architecture\\|configuration\\|entity\\|package\\)\\>" nil 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12866 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12867 (goto-char (match-beginning 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12868 (vhdl-backward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12869 (and (/= (preceding-char) ?\;) (not (bobp))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12870 (re-search-backward "^[ \t]*end\\>" pos 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12871 (point))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12872
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12873 (defun vhdl-match-string-downcase (num &optional string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12874 "Like `match-string-no-properties' with down-casing."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12875 (let ((match (match-string-no-properties num string)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12876 (and match (downcase match))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12877
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12878
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12879 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12880 ;; Scan functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12881
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12882 (defun vhdl-scan-context-clause ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12883 "Scan the context clause that preceeds a design unit."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12884 (let (lib-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12885 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12886 (when (re-search-backward "^[ \t]*\\(architecture\\|configuration\\|entity\\|package\\)\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12887 (while (and (re-search-backward "^[ \t]*\\(end\\|use\\)\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12888 (equal "USE" (upcase (match-string 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12889 (when (looking-at "^[ \t]*use[ \t\n]*\\(\\w+\\)\\.\\(\\w+\\)\\.\\w+")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12890 (setq lib-alist (cons (cons (match-string-no-properties 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12891 (vhdl-match-string-downcase 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12892 lib-alist))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12893 lib-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12894
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12895 (defun vhdl-scan-directory-contents (name &optional project update num-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12896 non-final)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12897 "Scan contents of VHDL files in directory or file pattern DIR-NAME."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12898 (string-match "\\(.*[/\\]\\)\\(.*\\)" name)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12899 ; (unless (file-directory-p (match-string 1 name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12900 ; (message "No such directory: \"%s\"" (match-string 1 name)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12901 (let* ((dir-name (match-string 1 name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12902 (file-pattern (match-string 2 name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12903 (is-directory (= 0 (length file-pattern)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12904 (file-list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12905 (if update
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12906 (list name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12907 (if is-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12908 (vhdl-get-source-files t dir-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12909 (vhdl-directory-files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12910 dir-name t (wildcard-to-regexp file-pattern)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12911 (key (or project dir-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12912 (file-exclude-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12913 (or (nth 3 (aget vhdl-project-alist project)) ""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12914 (limit-design-file-size (nth 0 vhdl-speedbar-scan-limit))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12915 (limit-hier-file-size (nth 0 (nth 1 vhdl-speedbar-scan-limit)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12916 (limit-hier-inst-no (nth 1 (nth 1 vhdl-speedbar-scan-limit)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12917 ent-alist conf-alist pack-alist ent-inst-list file-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12918 tmp-list tmp-entry no-files files-exist big-files)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12919 (when (or project update)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12920 (setq ent-alist (aget vhdl-entity-alist key t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12921 conf-alist (aget vhdl-config-alist key t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12922 pack-alist (aget vhdl-package-alist key t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12923 ent-inst-list (car (aget vhdl-ent-inst-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12924 file-alist (aget vhdl-file-alist key t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12925 (when (and (not is-directory) (null file-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12926 (message "No such file: \"%s\"" name))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12927 (setq files-exist file-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12928 (when file-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12929 (setq no-files (length file-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12930 (message "Scanning %s %s\"%s\"..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12931 (if is-directory "directory" "files") (or num-string "") name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12932 ;; exclude files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12933 (unless (equal file-exclude-regexp "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12934 (let ((case-fold-search nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12935 file-tmp-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12936 (while file-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12937 (unless (string-match file-exclude-regexp (car file-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12938 (setq file-tmp-list (cons (car file-list) file-tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12939 (setq file-list (cdr file-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12940 (setq file-list (nreverse file-tmp-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12941 ;; do for all files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12942 (while file-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12943 (unless noninteractive
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12944 (message "Scanning %s %s\"%s\"... (%2d%s)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12945 (if is-directory "directory" "files")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12946 (or num-string "") name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12947 (/ (* 100 (- no-files (length file-list))) no-files) "%"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12948 (let ((file-name (abbreviate-file-name (car file-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12949 ent-list arch-list arch-ent-list conf-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12950 pack-list pack-body-list inst-list inst-ent-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12951 ;; scan file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12952 (vhdl-visit-file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12953 file-name nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12954 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12955 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12956 ;; scan for design units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12957 (if (and limit-design-file-size
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12958 (< limit-design-file-size (buffer-size)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12959 (progn (message "WARNING: Scan limit (design units: file size) reached in file:\n \"%s\"" file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12960 (setq big-files t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12961 ;; scan for entities
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12962 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12963 (while (re-search-forward "^[ \t]*entity[ \t\n]+\\(\\w+\\)[ \t\n]+is\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12964 (let* ((ent-name (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12965 (ent-key (downcase ent-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12966 (ent-entry (aget ent-alist ent-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12967 (arch-alist (nth 3 ent-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12968 (lib-alist (vhdl-scan-context-clause)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12969 (if (nth 1 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12970 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12971 "Entity declared twice (used 1.): \"%s\"\n 1. in \"%s\" (line %d)\n 2. in \"%s\" (line %d)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12972 ent-name (nth 1 ent-entry) (nth 2 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12973 file-name (vhdl-current-line))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12974 (setq ent-list (cons ent-key ent-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12975 (aput 'ent-alist ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12976 (list ent-name file-name (vhdl-current-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12977 arch-alist lib-alist)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12978 ;; scan for architectures
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12979 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12980 (while (re-search-forward "^[ \t]*architecture[ \t\n]+\\(\\w+\\)[ \t\n]+of[ \t\n]+\\(\\w+\\)[ \t\n]+is\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12981 (let* ((arch-name (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12982 (arch-key (downcase arch-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12983 (ent-name (match-string-no-properties 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12984 (ent-key (downcase ent-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12985 (ent-entry (aget ent-alist ent-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12986 (arch-alist (nth 3 ent-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12987 (arch-entry (aget arch-alist arch-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12988 (lib-arch-alist (vhdl-scan-context-clause)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12989 (if arch-entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12990 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12991 "Architecture declared twice (used 1.): \"%s\" of \"%s\"\n 1. in \"%s\" (line %d)\n 2. in \"%s\" (line %d)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12992 arch-name ent-name (nth 1 arch-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12993 (nth 2 arch-entry) file-name (vhdl-current-line))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12994 (setq arch-list (cons arch-key arch-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12995 arch-ent-list (cons ent-key arch-ent-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12996 (aput 'arch-alist arch-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12997 (list arch-name file-name (vhdl-current-line) nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12998 lib-arch-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12999 (aput 'ent-alist ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13000 (list (or (nth 0 ent-entry) ent-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13001 (nth 1 ent-entry) (nth 2 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13002 (vhdl-sort-alist arch-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13003 (nth 4 ent-entry))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13004 ;; scan for configurations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13005 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13006 (while (re-search-forward "^[ \t]*configuration[ \t\n]+\\(\\w+\\)[ \t\n]+of[ \t\n]+\\(\\w+\\)[ \t\n]+is\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13007 (let* ((conf-name (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13008 (conf-key (downcase conf-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13009 (conf-entry (aget conf-alist conf-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13010 (ent-name (match-string-no-properties 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13011 (ent-key (downcase ent-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13012 (lib-alist (vhdl-scan-context-clause))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13013 (conf-line (vhdl-current-line))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13014 (end-of-unit (vhdl-get-end-of-unit))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13015 arch-key comp-conf-list inst-key-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13016 inst-comp-key inst-ent-key inst-arch-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13017 inst-conf-key inst-lib-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13018 (when (vhdl-re-search-forward "\\<for[ \t\n]+\\(\\w+\\)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13019 (setq arch-key (vhdl-match-string-downcase 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13020 (if conf-entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13021 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13022 "Configuration declared twice (used 1.): \"%s\" of \"%s\"\n 1. in \"%s\" (line %d)\n 2. in \"%s\" (line %d)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13023 conf-name ent-name (nth 1 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13024 (nth 2 conf-entry) file-name conf-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13025 (setq conf-list (cons conf-key conf-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13026 ;; scan for subconfigurations and subentities
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13027 (while (re-search-forward "^[ \t]*for[ \t\n]+\\(\\w+\\([ \t\n]*,[ \t\n]*\\w+\\)*\\)[ \t\n]*:[ \t\n]*\\(\\w+\\)[ \t\n]+" end-of-unit t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13028 (setq inst-comp-key (vhdl-match-string-downcase 3)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13029 inst-key-list (split-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13030 (vhdl-match-string-downcase 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13031 "[ \t\n]*,[ \t\n]*"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13032 (vhdl-forward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13033 (when (looking-at "use[ \t\n]+\\(\\(entity\\)\\|configuration\\)[ \t\n]+\\(\\w+\\)\\.\\(\\w+\\)[ \t\n]*\\((\\(\\w+\\))\\)?")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13034 (setq
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13035 inst-lib-key (vhdl-match-string-downcase 3)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13036 inst-ent-key (and (match-string 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13037 (vhdl-match-string-downcase 4))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13038 inst-arch-key (and (match-string 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13039 (vhdl-match-string-downcase 6))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13040 inst-conf-key (and (not (match-string 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13041 (vhdl-match-string-downcase 4)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13042 (while inst-key-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13043 (setq comp-conf-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13044 (cons (list (car inst-key-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13045 inst-comp-key inst-ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13046 inst-arch-key inst-conf-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13047 inst-lib-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13048 comp-conf-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13049 (setq inst-key-list (cdr inst-key-list)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13050 (aput 'conf-alist conf-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13051 (list conf-name file-name conf-line ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13052 arch-key comp-conf-list lib-alist)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13053 ;; scan for packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13054 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13055 (while (re-search-forward "^[ \t]*package[ \t\n]+\\(body[ \t\n]+\\)?\\(\\w+\\)[ \t\n]+is\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13056 (let* ((pack-name (match-string-no-properties 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13057 (pack-key (downcase pack-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13058 (is-body (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13059 (pack-entry (aget pack-alist pack-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13060 (pack-line (vhdl-current-line))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13061 (end-of-unit (vhdl-get-end-of-unit))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13062 comp-name func-name comp-alist func-alist lib-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13063 (if (if is-body (nth 6 pack-entry) (nth 1 pack-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13064 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13065 "Package%s declared twice (used 1.): \"%s\"\n 1. in \"%s\" (line %d)\n 2. in \"%s\" (line %d)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13066 (if is-body " body" "") pack-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13067 (if is-body (nth 6 pack-entry) (nth 1 pack-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13068 (if is-body (nth 7 pack-entry) (nth 2 pack-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13069 file-name (vhdl-current-line))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13070 ;; scan for context clauses
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13071 (setq lib-alist (vhdl-scan-context-clause))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13072 ;; scan for component and subprogram declarations/bodies
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13073 (while (re-search-forward "^[ \t]*\\(component\\|function\\|procedure\\)[ \t\n]+\\(\\w+\\|\".*\"\\)" end-of-unit t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13074 (if (equal (upcase (match-string 1)) "COMPONENT")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13075 (setq comp-name (match-string-no-properties 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13076 comp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13077 (cons (list (downcase comp-name) comp-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13078 file-name (vhdl-current-line))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13079 comp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13080 (setq func-name (match-string-no-properties 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13081 func-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13082 (cons (list (downcase func-name) func-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13083 file-name (vhdl-current-line))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13084 func-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13085 (setq func-alist (nreverse func-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13086 (setq comp-alist (nreverse comp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13087 (if is-body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13088 (setq pack-body-list (cons pack-key pack-body-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13089 (setq pack-list (cons pack-key pack-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13090 (aput
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13091 'pack-alist pack-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13092 (if is-body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13093 (list (or (nth 0 pack-entry) pack-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13094 (nth 1 pack-entry) (nth 2 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13095 (nth 3 pack-entry) (nth 4 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13096 (nth 5 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13097 file-name pack-line func-alist lib-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13098 (list pack-name file-name pack-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13099 comp-alist func-alist lib-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13100 (nth 6 pack-entry) (nth 7 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13101 (nth 8 pack-entry) (nth 9 pack-entry))))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13102 ;; scan for hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13103 (if (and limit-hier-file-size
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13104 (< limit-hier-file-size (buffer-size)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13105 (progn (message "WARNING: Scan limit (hierarchy: file size) reached in file:\n \"%s\"" file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13106 (setq big-files t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13107 ;; scan for architectures
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13108 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13109 (while (re-search-forward "^[ \t]*architecture[ \t\n]+\\(\\w+\\)[ \t\n]+of[ \t\n]+\\(\\w+\\)[ \t\n]+is\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13110 (let* ((ent-name (match-string-no-properties 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13111 (ent-key (downcase ent-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13112 (arch-name (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13113 (arch-key (downcase arch-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13114 (ent-entry (aget ent-alist ent-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13115 (arch-alist (nth 3 ent-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13116 (arch-entry (aget arch-alist arch-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13117 (beg-of-unit (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13118 (end-of-unit (vhdl-get-end-of-unit))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13119 (inst-no 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13120 inst-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13121 ;; scan for contained instantiations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13122 (while (and (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13123 (concat "^[ \t]*\\(\\w+\\)[ \t\n]*:[ \t\n]*\\("
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13124 "\\(\\w+\\)[ \t\n]+\\(--[^\n]*\n[ \t\n]*\\)*\\(generic\\|port\\)[ \t\n]+map\\>\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13125 "component[ \t\n]+\\(\\w+\\)\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13126 "\\(\\(entity\\)\\|configuration\\)[ \t\n]+\\(\\(\\w+\\)\\.\\)?\\(\\w+\\)\\([ \t\n]*(\\(\\w+\\))\\)?\\)") end-of-unit t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13127 (or (not limit-hier-inst-no)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13128 (<= (setq inst-no (1+ inst-no))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13129 limit-hier-inst-no)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13130 (let* ((inst-name (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13131 (inst-key (downcase inst-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13132 (inst-comp-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13133 (or (match-string-no-properties 3)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13134 (match-string-no-properties 6)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13135 (inst-ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13136 (or (and (match-string 8)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13137 (vhdl-match-string-downcase 11))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13138 (and inst-comp-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13139 (downcase inst-comp-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13140 (inst-arch-key (vhdl-match-string-downcase 13))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13141 (inst-conf-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13142 (and (not (match-string 8))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13143 (vhdl-match-string-downcase 11)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13144 (inst-lib-key (vhdl-match-string-downcase 10)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13145 (goto-char (match-end 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13146 (setq inst-list (cons inst-key inst-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13147 inst-ent-list (cons inst-ent-key inst-ent-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13148 (setq inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13149 (append
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13150 inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13151 (list (list inst-key inst-name file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13152 (vhdl-current-line) inst-comp-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13153 inst-ent-key inst-arch-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13154 inst-conf-key inst-lib-key))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13155 ;; scan for contained configuration specifications
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13156 (goto-char beg-of-unit)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13157 (while (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13158 (concat "^[ \t]*for[ \t\n]+\\(\\w+\\([ \t\n]*,[ \t\n]*\\w+\\)*\\)[ \t\n]*:[ \t\n]*\\(\\w+\\)[ \t\n]+\\(--[^\n]*\n[ \t\n]*\\)*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13159 "use[ \t\n]+\\(\\(entity\\)\\|configuration\\)[ \t\n]+\\(\\(\\w+\\)\\.\\)?\\(\\w+\\)\\([ \t\n]*(\\(\\w+\\))\\)?") end-of-unit t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13160 (let* ((inst-comp-name (match-string-no-properties 3))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13161 (inst-ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13162 (and (match-string 6)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13163 (vhdl-match-string-downcase 9)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13164 (inst-arch-key (vhdl-match-string-downcase 11))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13165 (inst-conf-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13166 (and (not (match-string 6))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13167 (vhdl-match-string-downcase 9)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13168 (inst-lib-key (vhdl-match-string-downcase 8))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13169 (inst-key-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13170 (split-string (vhdl-match-string-downcase 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13171 "[ \t\n]*,[ \t\n]*"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13172 (tmp-inst-alist inst-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13173 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13174 (while tmp-inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13175 (when (and (or (equal "all" (car inst-key-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13176 (member (nth 0 (car tmp-inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13177 inst-key-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13178 (equal
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13179 (downcase
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13180 (or (nth 4 (car tmp-inst-alist)) ""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13181 (downcase inst-comp-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13182 (setq inst-entry (car tmp-inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13183 (setq inst-ent-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13184 (cons (or inst-ent-key (nth 5 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13185 (vhdl-delete
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13186 (nth 5 inst-entry) inst-ent-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13187 (setq inst-entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13188 (list (nth 0 inst-entry) (nth 1 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13189 (nth 2 inst-entry) (nth 3 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13190 (nth 4 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13191 (or inst-ent-key (nth 5 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13192 (or inst-arch-key (nth 6 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13193 inst-conf-key inst-lib-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13194 (setcar tmp-inst-alist inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13195 (setq tmp-inst-alist (cdr tmp-inst-alist)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13196 ;; save in cache
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13197 (aput 'arch-alist arch-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13198 (list (nth 0 arch-entry) (nth 1 arch-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13199 (nth 2 arch-entry) inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13200 (nth 4 arch-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13201 (aput 'ent-alist ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13202 (list (nth 0 ent-entry) (nth 1 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13203 (nth 2 ent-entry) (vhdl-sort-alist arch-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13204 (nth 4 ent-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13205 (when (and limit-hier-inst-no
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13206 (> inst-no limit-hier-inst-no))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13207 (message "WARNING: Scan limit (hierarchy: instances per architecture) reached in file:\n \"%s\"" file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13208 (setq big-files t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13209 (goto-char end-of-unit))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13210 ;; remember design units for this file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13211 (aput 'file-alist file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13212 (list ent-list arch-list arch-ent-list conf-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13213 pack-list pack-body-list inst-list inst-ent-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13214 (setq ent-inst-list (append inst-ent-list ent-inst-list))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13215 (setq file-list (cdr file-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13216 (when (or (and (not project) files-exist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13217 (and project (not non-final)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13218 ;; consistency checks:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13219 ;; check whether each architecture has a corresponding entity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13220 (setq tmp-list ent-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13221 (while tmp-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13222 (when (null (nth 2 (car tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13223 (setq tmp-entry (car (nth 4 (car tmp-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13224 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13225 "Architecture of non-existing entity: \"%s\" of \"%s\"\n in \"%s\" (line %d)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13226 (nth 1 tmp-entry) (nth 1 (car tmp-list)) (nth 2 tmp-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13227 (nth 3 tmp-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13228 (setq tmp-list (cdr tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13229 ;; check whether configuration has a corresponding entity/architecture
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13230 (setq tmp-list conf-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13231 (while tmp-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13232 (if (setq tmp-entry (aget ent-alist (nth 4 (car tmp-list)) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13233 (unless (aget (nth 3 tmp-entry) (nth 5 (car tmp-list)) t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13234 (setq tmp-entry (car tmp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13235 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13236 "Configuration of non-existing architecture: \"%s\" of \"%s(%s)\"\n in \"%s\" (line %d)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13237 (nth 1 tmp-entry) (nth 4 tmp-entry) (nth 5 tmp-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13238 (nth 2 tmp-entry) (nth 3 tmp-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13239 (setq tmp-entry (car tmp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13240 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13241 "Configuration of non-existing entity: \"%s\" of \"%s\"\n in \"%s\" (line %d)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13242 (nth 1 tmp-entry) (nth 4 tmp-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13243 (nth 2 tmp-entry) (nth 3 tmp-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13244 (setq tmp-list (cdr tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13245 ;; check whether each package body has a package declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13246 (setq tmp-list pack-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13247 (while tmp-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13248 (when (null (nth 2 (car tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13249 (setq tmp-entry (car tmp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13250 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13251 "Package body of non-existing package: \"%s\"\n in \"%s\" (line %d)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13252 (nth 1 tmp-entry) (nth 7 tmp-entry) (nth 8 tmp-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13253 (setq tmp-list (cdr tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13254 ;; sort lists
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13255 (setq ent-alist (vhdl-sort-alist ent-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13256 (setq conf-alist (vhdl-sort-alist conf-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13257 (setq pack-alist (vhdl-sort-alist pack-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13258 ;; remember updated directory/project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13259 (add-to-list 'vhdl-updated-project-list (or project dir-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13260 ;; clear directory alists
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13261 (unless project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13262 (adelete 'vhdl-entity-alist key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13263 (adelete 'vhdl-config-alist key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13264 (adelete 'vhdl-package-alist key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13265 (adelete 'vhdl-ent-inst-alist key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13266 (adelete 'vhdl-file-alist key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13267 ;; put directory contents into cache
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13268 (aput 'vhdl-entity-alist key ent-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13269 (aput 'vhdl-config-alist key conf-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13270 (aput 'vhdl-package-alist key pack-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13271 (aput 'vhdl-ent-inst-alist key (list ent-inst-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13272 (aput 'vhdl-file-alist key file-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13273 ;; final messages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13274 (message "Scanning %s %s\"%s\"...done"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13275 (if is-directory "directory" "files") (or num-string "") name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13276 (unless project (message "Scanning directory...done"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13277 (when big-files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13278 (vhdl-warning-when-idle "Scanning is incomplete.\n --> see user option `vhdl-speedbar-scan-limit'"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13279 ;; save cache when scanned non-interactively
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13280 (when (or (not project) (not non-final))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13281 (when (and noninteractive vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13282 (vhdl-save-cache key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13283 t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13284
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13285 (defun vhdl-scan-project-contents (project)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13286 "Scan the contents of all VHDL files found in the directories and files
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13287 of PROJECT."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13288 (let ((dir-list (or (nth 2 (aget vhdl-project-alist project)) '("")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13289 (default-dir (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13290 (nth 1 (aget vhdl-project-alist project))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13291 (file-exclude-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13292 (or (nth 3 (aget vhdl-project-alist project)) ""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13293 dir-list-tmp dir dir-name num-dir act-dir recursive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13294 ;; clear project alists
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13295 (adelete 'vhdl-entity-alist project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13296 (adelete 'vhdl-config-alist project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13297 (adelete 'vhdl-package-alist project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13298 (adelete 'vhdl-ent-inst-alist project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13299 (adelete 'vhdl-file-alist project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13300 ;; expand directory names by default-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13301 (message "Collecting source files...")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13302 (while dir-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13303 (setq dir (vhdl-resolve-env-variable (car dir-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13304 (string-match "\\(\\(-r \\)?\\)\\(.*\\)" dir)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13305 (setq recursive (match-string 1 dir)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13306 dir-name (match-string 3 dir))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13307 (setq dir-list-tmp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13308 (cons (concat recursive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13309 (if (file-name-absolute-p dir-name) "" default-dir)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13310 dir-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13311 dir-list-tmp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13312 (setq dir-list (cdr dir-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13313 ;; resolve path wildcards
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13314 (setq dir-list-tmp (vhdl-resolve-paths dir-list-tmp))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13315 ;; expand directories
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13316 (while dir-list-tmp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13317 (setq dir (car dir-list-tmp))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13318 ;; get subdirectories
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13319 (if (string-match "-r \\(.*[/\\]\\)" dir)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13320 (setq dir-list (append dir-list (vhdl-get-subdirs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13321 (match-string 1 dir))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13322 (setq dir-list (append dir-list (list dir))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13323 (setq dir-list-tmp (cdr dir-list-tmp)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13324 ;; exclude files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13325 (unless (equal file-exclude-regexp "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13326 (let ((case-fold-search nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13327 (while dir-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13328 (unless (string-match file-exclude-regexp (car dir-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13329 (setq dir-list-tmp (cons (car dir-list) dir-list-tmp)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13330 (setq dir-list (cdr dir-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13331 (setq dir-list (nreverse dir-list-tmp))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13332 (message "Collecting source files...done")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13333 ;; scan for design units for each directory in DIR-LIST
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13334 (setq dir-list-tmp nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13335 num-dir (length dir-list)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13336 act-dir 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13337 (while dir-list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13338 (setq dir-name (abbreviate-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13339 (expand-file-name (car dir-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13340 (vhdl-scan-directory-contents dir-name project nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13341 (format "(%s/%s) " act-dir num-dir)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13342 (cdr dir-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13343 (add-to-list 'dir-list-tmp (file-name-directory dir-name))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13344 (setq dir-list (cdr dir-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13345 act-dir (1+ act-dir)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13346 (aput 'vhdl-directory-alist project (list (nreverse dir-list-tmp)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13347 (message "Scanning project \"%s\"...done" project)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13348
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13349 (defun vhdl-update-file-contents (file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13350 "Update hierarchy information by contents of current buffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13351 (setq file-name (abbreviate-file-name file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13352 (let* ((dir-name (file-name-directory file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13353 (directory-alist vhdl-directory-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13354 updated)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13355 (while directory-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13356 (when (member dir-name (nth 1 (car directory-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13357 (let* ((vhdl-project (nth 0 (car directory-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13358 (project (vhdl-project-p))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13359 (ent-alist (aget vhdl-entity-alist (or project dir-name) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13360 (conf-alist (aget vhdl-config-alist (or project dir-name) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13361 (pack-alist (aget vhdl-package-alist (or project dir-name) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13362 (ent-inst-list (car (aget vhdl-ent-inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13363 (or project dir-name) t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13364 (file-alist (aget vhdl-file-alist (or project dir-name) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13365 (file-entry (aget file-alist file-name t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13366 (ent-list (nth 0 file-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13367 (arch-list (nth 1 file-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13368 (arch-ent-list (nth 2 file-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13369 (conf-list (nth 3 file-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13370 (pack-list (nth 4 file-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13371 (pack-body-list (nth 5 file-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13372 (inst-ent-list (nth 7 file-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13373 (cache-key (or project dir-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13374 arch-alist key ent-key entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13375 ;; delete design units previously contained in this file:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13376 ;; entities
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13377 (while ent-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13378 (setq key (car ent-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13379 entry (aget ent-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13380 (when (equal file-name (nth 1 entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13381 (if (nth 3 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13382 (aput 'ent-alist key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13383 (list (nth 0 entry) nil nil (nth 3 entry) nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13384 (adelete 'ent-alist key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13385 (setq ent-list (cdr ent-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13386 ;; architectures
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13387 (while arch-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13388 (setq key (car arch-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13389 ent-key (car arch-ent-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13390 entry (aget ent-alist ent-key t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13391 arch-alist (nth 3 entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13392 (when (equal file-name (nth 1 (aget arch-alist key t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13393 (adelete 'arch-alist key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13394 (if (or (nth 1 entry) arch-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13395 (aput 'ent-alist ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13396 (list (nth 0 entry) (nth 1 entry) (nth 2 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13397 arch-alist (nth 4 entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13398 (adelete 'ent-alist ent-key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13399 (setq arch-list (cdr arch-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13400 arch-ent-list (cdr arch-ent-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13401 ;; configurations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13402 (while conf-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13403 (setq key (car conf-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13404 (when (equal file-name (nth 1 (aget conf-alist key t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13405 (adelete 'conf-alist key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13406 (setq conf-list (cdr conf-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13407 ;; package declarations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13408 (while pack-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13409 (setq key (car pack-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13410 entry (aget pack-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13411 (when (equal file-name (nth 1 entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13412 (if (nth 6 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13413 (aput 'pack-alist key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13414 (list (nth 0 entry) nil nil nil nil nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13415 (nth 6 entry) (nth 7 entry) (nth 8 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13416 (nth 9 entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13417 (adelete 'pack-alist key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13418 (setq pack-list (cdr pack-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13419 ;; package bodies
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13420 (while pack-body-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13421 (setq key (car pack-body-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13422 entry (aget pack-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13423 (when (equal file-name (nth 6 entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13424 (if (nth 1 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13425 (aput 'pack-alist key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13426 (list (nth 0 entry) (nth 1 entry) (nth 2 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13427 (nth 3 entry) (nth 4 entry) (nth 5 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13428 nil nil nil nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13429 (adelete 'pack-alist key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13430 (setq pack-body-list (cdr pack-body-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13431 ;; instantiated entities
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13432 (while inst-ent-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13433 (setq ent-inst-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13434 (vhdl-delete (car inst-ent-list) ent-inst-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13435 (setq inst-ent-list (cdr inst-ent-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13436 ;; update caches
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13437 (vhdl-aput 'vhdl-entity-alist cache-key ent-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13438 (vhdl-aput 'vhdl-config-alist cache-key conf-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13439 (vhdl-aput 'vhdl-package-alist cache-key pack-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13440 (vhdl-aput 'vhdl-ent-inst-alist cache-key (list ent-inst-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13441 ;; scan file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13442 (vhdl-scan-directory-contents file-name project t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13443 (when (or (and vhdl-speedbar-show-projects project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13444 (and (not vhdl-speedbar-show-projects) (not project)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13445 (vhdl-speedbar-refresh project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13446 (setq updated t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13447 (setq directory-alist (cdr directory-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13448 updated))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13449
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13450 (defun vhdl-update-hierarchy ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13451 "Update directory and hierarchy information in speedbar."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13452 (let ((file-list (reverse vhdl-modified-file-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13453 updated)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13454 (when (and vhdl-speedbar-update-on-saving file-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13455 (while file-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13456 (setq updated
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13457 (or (vhdl-update-file-contents (car file-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13458 updated))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13459 (setq file-list (cdr file-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13460 (setq vhdl-modified-file-list nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13461 (when updated (message "Updating hierarchy...done")))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13462
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13463 ;; structure (parenthesised expression means list of such entries)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13464 ;; (inst-key inst-file-marker comp-ent-key comp-ent-file-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13465 ;; comp-arch-key comp-arch-file-marker comp-conf-key comp-conf-file-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13466 ;; comp-lib-name level)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13467 (defun vhdl-get-hierarchy (ent-alist conf-alist ent-key arch-key conf-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13468 conf-inst-alist level indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13469 &optional include-top ent-hier)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13470 "Get instantiation hierarchy beginning in architecture ARCH-KEY of
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13471 entity ENT-KEY."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13472 (let* ((ent-entry (aget ent-alist ent-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13473 (arch-entry (if arch-key (aget (nth 3 ent-entry) arch-key t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13474 (cdar (last (nth 3 ent-entry)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13475 (inst-alist (nth 3 arch-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13476 inst-entry inst-ent-entry inst-arch-entry inst-conf-entry comp-entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13477 hier-list subcomp-list tmp-list inst-key inst-comp-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13478 inst-ent-key inst-arch-key inst-conf-key inst-lib-key)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13479 (when (= level 0) (message "Extract design hierarchy..."))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13480 (when include-top
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13481 (setq level (1+ level)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13482 (when (member ent-key ent-hier)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13483 (error "ERROR: Instantiation loop detected, component instantiates itself: \"%s\"" ent-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13484 ;; check configured architecture (already checked during scanning)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13485 ; (unless (or (null conf-inst-alist) (assoc arch-key (nth 3 ent-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13486 ; (vhdl-warning-when-idle "Configuration for non-existing architecture used: \"%s\"" conf-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13487 ;; process all instances
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13488 (while inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13489 (setq inst-entry (car inst-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13490 inst-key (nth 0 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13491 inst-comp-name (nth 4 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13492 inst-conf-key (nth 7 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13493 ;; search entry in configuration's instantiations list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13494 (setq tmp-list conf-inst-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13495 (while (and tmp-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13496 (not (and (member (nth 0 (car tmp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13497 (list "all" inst-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13498 (equal (nth 1 (car tmp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13499 (downcase (or inst-comp-name ""))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13500 (setq tmp-list (cdr tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13501 (setq inst-conf-key (or (nth 4 (car tmp-list)) inst-conf-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13502 (setq inst-conf-entry (aget conf-alist inst-conf-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13503 (when (and inst-conf-key (not inst-conf-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13504 (vhdl-warning-when-idle "Configuration not found: \"%s\"" inst-conf-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13505 ;; determine entity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13506 (setq inst-ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13507 (or (nth 2 (car tmp-list)) ; from configuration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13508 (nth 3 inst-conf-entry) ; from subconfiguration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13509 (nth 3 (aget conf-alist (nth 7 inst-entry) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13510 ; from configuration spec.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13511 (nth 5 inst-entry))) ; from direct instantiation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13512 (setq inst-ent-entry (aget ent-alist inst-ent-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13513 ;; determine architecture
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13514 (setq inst-arch-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13515 (or (nth 3 (car tmp-list)) ; from configuration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13516 (nth 4 inst-conf-entry) ; from subconfiguration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13517 (nth 6 inst-entry) ; from direct instantiation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13518 (nth 4 (aget conf-alist (nth 7 inst-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13519 ; from configuration spec.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13520 (caar (nth 3 inst-ent-entry)))) ; random (simplified MRA)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13521 (setq inst-arch-entry (aget (nth 3 inst-ent-entry) inst-arch-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13522 ;; set library
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13523 (setq inst-lib-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13524 (or (nth 5 (car tmp-list)) ; from configuration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13525 (nth 8 inst-entry))) ; from direct instantiation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13526 ;; gather information for this instance
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13527 (setq comp-entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13528 (list (nth 1 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13529 (cons (nth 2 inst-entry) (nth 3 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13530 (or (nth 0 inst-ent-entry) (nth 4 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13531 (cons (nth 1 inst-ent-entry) (nth 2 inst-ent-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13532 (or (nth 0 inst-arch-entry) inst-arch-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13533 (cons (nth 1 inst-arch-entry) (nth 2 inst-arch-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13534 (or (nth 0 inst-conf-entry) inst-conf-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13535 (cons (nth 1 inst-conf-entry) (nth 2 inst-conf-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13536 inst-lib-key level))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13537 ;; get subcomponent hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13538 (setq subcomp-list (vhdl-get-hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13539 ent-alist conf-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13540 inst-ent-key inst-arch-key inst-conf-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13541 (nth 5 inst-conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13542 (1+ level) indent nil (cons ent-key ent-hier)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13543 ;; add to list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13544 (setq hier-list (append hier-list (list comp-entry) subcomp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13545 (setq inst-alist (cdr inst-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13546 (when include-top
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13547 (setq hier-list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13548 (cons (list nil nil (nth 0 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13549 (cons (nth 1 ent-entry) (nth 2 ent-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13550 (nth 0 arch-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13551 (cons (nth 1 arch-entry) (nth 2 arch-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13552 nil nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13553 nil (1- level))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13554 hier-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13555 (when (or (= level 0) (and include-top (= level 1))) (message ""))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13556 hier-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13557
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13558 (defun vhdl-get-instantiations (ent-key indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13559 "Get all instantiations of entity ENT-KEY."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13560 (let ((ent-alist (aget vhdl-entity-alist (vhdl-speedbar-line-key indent) t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13561 arch-alist inst-alist ent-inst-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13562 ent-entry arch-entry inst-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13563 (while ent-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13564 (setq ent-entry (car ent-alist))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13565 (setq arch-alist (nth 4 ent-entry))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13566 (while arch-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13567 (setq arch-entry (car arch-alist))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13568 (setq inst-alist (nth 4 arch-entry))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13569 (while inst-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13570 (setq inst-entry (car inst-alist))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13571 (when (equal ent-key (nth 5 inst-entry))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13572 (setq ent-inst-list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13573 (cons (list (nth 1 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13574 (cons (nth 2 inst-entry) (nth 3 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13575 (nth 1 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13576 (cons (nth 2 ent-entry) (nth 3 ent-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13577 (nth 1 arch-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13578 (cons (nth 2 arch-entry) (nth 3 arch-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13579 ent-inst-list)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13580 (setq inst-alist (cdr inst-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13581 (setq arch-alist (cdr arch-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13582 (setq ent-alist (cdr ent-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13583 (nreverse ent-inst-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13584
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13585 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13586 ;; Caching in file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13587
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13588 (defun vhdl-save-caches ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13589 "Save all updated hierarchy caches to file."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13590 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13591 (condition-case nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13592 (when vhdl-speedbar-save-cache
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13593 ;; update hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13594 (vhdl-update-hierarchy)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13595 (let ((project-list vhdl-updated-project-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13596 (message "Saving hierarchy caches...")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13597 ;; write updated project caches
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13598 (while project-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13599 (vhdl-save-cache (car project-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13600 (setq project-list (cdr project-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13601 (message "Saving hierarchy caches...done")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13602 (error (progn (vhdl-warning "ERROR: An error occured while saving the hierarchy caches")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13603 (sit-for 2)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13604
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13605 (defun vhdl-save-cache (key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13606 "Save current hierarchy cache to file."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13607 (let* ((orig-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13608 (vhdl-project key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13609 (project (vhdl-project-p))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13610 (default-directory key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13611 (directory (abbreviate-file-name (vhdl-default-directory)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13612 (file-name (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13613 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13614 (cons "\\(.*\\) \\(.*\\)" vhdl-speedbar-cache-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13615 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13616 (subst-char-in-string ? ?_ (or project "dir"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13617 " " (user-login-name)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13618 (file-dir-name (expand-file-name file-name directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13619 (cache-key (or project directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13620 (key (if project "project" "directory")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13621 (unless (file-exists-p (file-name-directory file-dir-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13622 (make-directory (file-name-directory file-dir-name) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13623 (if (not (file-writable-p file-dir-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13624 (progn (vhdl-warning (format "File not writable: \"%s\""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13625 (abbreviate-file-name file-dir-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13626 (sit-for 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13627 (message "Saving cache: \"%s\"" file-dir-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13628 (set-buffer (find-file-noselect file-dir-name t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13629 (erase-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13630 (insert ";; -*- Emacs-Lisp -*-\n\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13631 ";;; " (file-name-nondirectory file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13632 " - design hierarchy cache file for Emacs VHDL Mode "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13633 vhdl-version "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13634 (insert "\n;; " (if project "Project " "Directory") " : ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13635 (if project (insert project) (prin1 directory (current-buffer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13636 (insert "\n;; Saved : " (format-time-string "%Y-%m-%d %T ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13637 (user-login-name) "\n\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13638 "\n;; version number\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13639 "(setq vhdl-cache-version \"" vhdl-version "\")\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13640 "\n;; " (if project "project" "directory") " name"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13641 "\n(setq " key " ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13642 (prin1 (or project directory) (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13643 (insert ")\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13644 (when (member 'hierarchy vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13645 (insert "\n;; entity and architecture cache\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13646 "(aput 'vhdl-entity-alist " key " '")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13647 (print (aget vhdl-entity-alist cache-key t) (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13648 (insert ")\n\n;; configuration cache\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13649 "(aput 'vhdl-config-alist " key " '")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13650 (print (aget vhdl-config-alist cache-key t) (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13651 (insert ")\n\n;; package cache\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13652 "(aput 'vhdl-package-alist " key " '")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13653 (print (aget vhdl-package-alist cache-key t) (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13654 (insert ")\n\n;; instantiated entities cache\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13655 "(aput 'vhdl-ent-inst-alist " key " '")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13656 (print (aget vhdl-ent-inst-alist cache-key t) (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13657 (insert ")\n\n;; design units per file cache\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13658 "(aput 'vhdl-file-alist " key " '")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13659 (print (aget vhdl-file-alist cache-key t) (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13660 (when project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13661 (insert ")\n\n;; source directories in project cache\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13662 "(aput 'vhdl-directory-alist " key " '")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13663 (print (aget vhdl-directory-alist cache-key t) (current-buffer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13664 (insert ")\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13665 (when (member 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13666 (insert "\n;; shown design units cache\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13667 "(aput 'vhdl-speedbar-shown-unit-alist " key " '")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13668 (print (aget vhdl-speedbar-shown-unit-alist cache-key t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13669 (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13670 (insert ")\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13671 (setq vhdl-updated-project-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13672 (delete cache-key vhdl-updated-project-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13673 (save-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13674 (kill-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13675 (set-buffer orig-buffer))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13676
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13677 (defun vhdl-load-cache (key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13678 "Load hierarchy cache information from file."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13679 (let* ((vhdl-project key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13680 (default-directory key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13681 (directory (vhdl-default-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13682 (file-name (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13683 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13684 (cons "\\(.*\\) \\(.*\\)" vhdl-speedbar-cache-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13685 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13686 (subst-char-in-string ? ?_ (or (vhdl-project-p) "dir"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13687 " " (user-login-name)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13688 (file-dir-name (expand-file-name file-name directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13689 vhdl-cache-version)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13690 (unless (memq 'vhdl-save-caches kill-emacs-hook)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13691 (add-hook 'kill-emacs-hook 'vhdl-save-caches))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13692 (when (file-exists-p file-dir-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13693 (condition-case ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13694 (progn (load-file file-dir-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13695 (string< (mapconcat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13696 (lambda (a) (format "%3d" (string-to-int a)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13697 (split-string "3.31.14" "\\.") "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13698 (mapconcat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13699 (lambda (a) (format "%3d" (string-to-int a)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13700 (split-string vhdl-cache-version "\\.") "")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13701 (error (progn (vhdl-warning (format "ERROR: Corrupted cache file: \"%s\"" file-dir-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13702 nil))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13703
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13704 (defun vhdl-require-hierarchy-info ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13705 "Make sure that hierarchy information is available. Load cache or scan files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13706 if required."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13707 (if (vhdl-project-p)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13708 (unless (or (assoc vhdl-project vhdl-file-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13709 (vhdl-load-cache vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13710 (vhdl-scan-project-contents vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13711 (let ((directory (abbreviate-file-name default-directory)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13712 (unless (or (assoc directory vhdl-file-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13713 (vhdl-load-cache directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13714 (vhdl-scan-directory-contents directory)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13715
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13716 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13717 ;; Add hierarchy browser functionality to speedbar
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13718
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13719 (defvar vhdl-speedbar-key-map nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13720 "Keymap used when in the VHDL hierarchy browser mode.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13721
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13722 (defvar vhdl-speedbar-menu-items nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13723 "Additional menu-items to add to speedbar frame.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13724
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13725 (defun vhdl-speedbar-initialize ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13726 "Initialize speedbar."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13727 ;; general settings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13728 ; (set (make-local-variable 'speedbar-tag-hierarchy-method) nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13729 ;; VHDL file extensions (extracted from `auto-mode-alist')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13730 (let ((mode-alist auto-mode-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13731 (while mode-alist
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13732 (when (eq (cdar mode-alist) 'vhdl-mode)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13733 (speedbar-add-supported-extension (caar mode-alist)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13734 (setq mode-alist (cdr mode-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13735 ;; hierarchy browser settings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13736 (when (boundp 'speedbar-mode-functions-list)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13737 ;; special functions
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13738 (speedbar-add-mode-functions-list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13739 '("vhdl directory"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13740 (speedbar-item-info . vhdl-speedbar-item-info)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13741 (speedbar-line-path . speedbar-files-line-path)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13742 (speedbar-add-mode-functions-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13743 '("vhdl project"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13744 (speedbar-item-info . vhdl-speedbar-item-info)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13745 (speedbar-line-path . vhdl-speedbar-line-project)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13746 ;; keymap
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13747 (unless vhdl-speedbar-key-map
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13748 (setq vhdl-speedbar-key-map (speedbar-make-specialized-keymap))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13749 (define-key vhdl-speedbar-key-map "e" 'speedbar-edit-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13750 (define-key vhdl-speedbar-key-map "\C-m" 'speedbar-edit-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13751 (define-key vhdl-speedbar-key-map "+" 'speedbar-expand-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13752 (define-key vhdl-speedbar-key-map "=" 'speedbar-expand-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13753 (define-key vhdl-speedbar-key-map "-" 'vhdl-speedbar-contract-level)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13754 (define-key vhdl-speedbar-key-map "_" 'vhdl-speedbar-contract-all)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13755 (define-key vhdl-speedbar-key-map "C" 'vhdl-speedbar-port-copy)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13756 (define-key vhdl-speedbar-key-map "P" 'vhdl-speedbar-place-component)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13757 (define-key vhdl-speedbar-key-map "K" 'vhdl-speedbar-make-design)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13758 (define-key vhdl-speedbar-key-map "R" 'vhdl-speedbar-rescan-hierarchy)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13759 (define-key vhdl-speedbar-key-map "S" 'vhdl-save-caches)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13760 (let ((key 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13761 (while (<= key 9)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13762 (define-key vhdl-speedbar-key-map (int-to-string key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13763 `(lambda () (interactive) (vhdl-speedbar-set-depth ,key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13764 (setq key (1+ key)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13765 (define-key speedbar-key-map "h"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13766 (lambda () (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13767 (speedbar-change-initial-expansion-list "vhdl directory")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13768 (define-key speedbar-key-map "H"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13769 (lambda () (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13770 (speedbar-change-initial-expansion-list "vhdl project")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13771 ;; menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13772 (unless vhdl-speedbar-menu-items
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13773 (setq
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13774 vhdl-speedbar-menu-items
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13775 `(["Edit" speedbar-edit-line t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13776 ["Expand" speedbar-expand-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13777 (save-excursion (beginning-of-line) (looking-at "[0-9]+: *.\\+. "))]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13778 ["Contract" vhdl-speedbar-contract-level t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13779 ["Expand All" vhdl-speedbar-expand-all t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13780 ["Contract All" vhdl-speedbar-contract-all t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13781 ,(let ((key 0) (menu-list '("Hierarchy Depth")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13782 (while (<= key 9)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13783 (setq menu-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13784 (cons `[,(if (= key 0) "All" (int-to-string key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13785 (vhdl-speedbar-set-depth ,key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13786 :style radio
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13787 :selected (= vhdl-speedbar-hierarchy-depth ,key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13788 :keys ,(int-to-string key)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13789 menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13790 (setq key (1+ key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13791 (nreverse menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13792 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13793 ["Copy Port/Subprogram" vhdl-speedbar-port-copy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13794 (or (vhdl-speedbar-check-unit 'entity)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13795 (vhdl-speedbar-check-unit 'subprogram))]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13796 ["Place Component" vhdl-speedbar-place-component
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13797 (vhdl-speedbar-check-unit 'entity)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13798 ["Make" vhdl-speedbar-make-design
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13799 (save-excursion (beginning-of-line) (looking-at "[0-9]+: *[[<]"))]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13800 ["Generate Makefile" vhdl-speedbar-generate-makefile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13801 (save-excursion (beginning-of-line) (looking-at "[0-9]+:"))]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13802 ["Rescan Directory" vhdl-speedbar-rescan-hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13803 :active (save-excursion (beginning-of-line) (looking-at "[0-9]+:"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13804 ,(if vhdl-xemacs :active :visible) (not vhdl-speedbar-show-projects)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13805 ["Rescan Project" vhdl-speedbar-rescan-hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13806 :active (save-excursion (beginning-of-line) (looking-at "[0-9]+:"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13807 ,(if vhdl-xemacs :active :visible) vhdl-speedbar-show-projects]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13808 ["Save Caches" vhdl-save-caches vhdl-updated-project-list])))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13809 ;; hook-ups
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13810 (speedbar-add-expansion-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13811 '("vhdl directory" vhdl-speedbar-menu-items vhdl-speedbar-key-map
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13812 vhdl-speedbar-display-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13813 (speedbar-add-expansion-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13814 '("vhdl project" vhdl-speedbar-menu-items vhdl-speedbar-key-map
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13815 vhdl-speedbar-display-projects))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13816 (setq speedbar-stealthy-function-list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13817 (append
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13818 '(("vhdl directory" vhdl-speedbar-update-current-unit)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13819 ("vhdl project" vhdl-speedbar-update-current-project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13820 vhdl-speedbar-update-current-unit)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13821 ; ("files" (lambda () (setq speedbar-ignored-path-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13822 ; (speedbar-extension-list-to-regex
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13823 ; speedbar-ignored-path-expressions))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13824 )
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13825 speedbar-stealthy-function-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13826 (when (eq vhdl-speedbar-display-mode 'directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13827 (setq speedbar-initial-expansion-list-name "vhdl directory"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13828 (when (eq vhdl-speedbar-display-mode 'project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13829 (setq speedbar-initial-expansion-list-name "vhdl project"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13830 (add-hook 'speedbar-timer-hook 'vhdl-update-hierarchy)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13831
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13832 (defun vhdl-speedbar (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13833 "Open/close speedbar."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13834 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13835 (if (not (fboundp 'speedbar))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13836 (error "WARNING: Speedbar is not available or not installed")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13837 (condition-case ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13838 (speedbar-frame-mode arg)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13839 (error (error "WARNING: An error occurred while opening speedbar")))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13840
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13841 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13842 ;; Display functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13843
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13844 (defvar vhdl-speedbar-last-selected-project nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13845 "Name of last selected project.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13846
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13847 ;; macros must be defined in the file they are used (copied from `speedbar.el')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13848 (defmacro speedbar-with-writable (&rest forms)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13849 "Allow the buffer to be writable and evaluate FORMS."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13850 (list 'let '((inhibit-read-only t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13851 (cons 'progn forms)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13852 (put 'speedbar-with-writable 'lisp-indent-function 0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13853
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13854 (defun vhdl-speedbar-display-directory (directory depth &optional rescan)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13855 "Display directory and hierarchy information in speedbar."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13856 (setq vhdl-speedbar-show-projects nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13857 (setq speedbar-ignored-path-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13858 (speedbar-extension-list-to-regex speedbar-ignored-path-expressions))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13859 (setq directory (abbreviate-file-name (file-name-as-directory directory)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13860 (setq speedbar-last-selected-file nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13861 (speedbar-with-writable
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13862 (condition-case nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13863 (progn
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13864 ;; insert directory path
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13865 (speedbar-directory-buttons directory depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13866 ;; insert subdirectories
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13867 (vhdl-speedbar-insert-dirs (speedbar-file-lists directory) depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13868 ;; scan and insert hierarchy of current directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13869 (vhdl-speedbar-insert-dir-hierarchy directory depth
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13870 speedbar-power-click)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13871 ;; expand subdirectories
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13872 (when (= depth 0) (vhdl-speedbar-expand-dirs directory)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13873 (error (vhdl-warning-when-idle "ERROR: Invalid hierarchy information, unable to display correctly")))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13874
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13875 (defun vhdl-speedbar-display-projects (project depth &optional rescan)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13876 "Display projects and hierarchy information in speedbar."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13877 (setq vhdl-speedbar-show-projects t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13878 (setq speedbar-ignored-path-regexp ".")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13879 (setq speedbar-last-selected-file nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13880 (setq vhdl-speedbar-last-selected-project nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13881 (speedbar-with-writable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13882 (condition-case nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13883 ;; insert projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13884 (vhdl-speedbar-insert-projects)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13885 (error (vhdl-warning-when-idle "ERROR: Invalid hierarchy information, unable to display correctly"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13886 (setq speedbar-full-text-cache nil)) ; prevent caching
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13887
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13888 (defun vhdl-speedbar-insert-projects ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13889 "Insert all projects in speedbar."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13890 (vhdl-speedbar-make-title-line "Projects:")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13891 (let ((project-alist (if vhdl-project-sort
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13892 (vhdl-sort-alist (copy-alist vhdl-project-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13893 vhdl-project-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13894 (vhdl-speedbar-update-current-unit nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13895 ;; insert projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13896 (while project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13897 (speedbar-make-tag-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13898 'angle ?+ 'vhdl-speedbar-expand-project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13899 (caar project-alist) (caar project-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13900 'vhdl-toggle-project (caar project-alist) 'speedbar-directory-face 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13901 (setq project-alist (cdr project-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13902 (setq project-alist vhdl-project-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13903 ;; expand projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13904 (while project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13905 (when (member (caar project-alist) vhdl-speedbar-shown-project-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13906 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13907 (when (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13908 (concat "^\\([0-9]+:\\s-*<\\)[+]>\\s-+" (caar project-alist) "$") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13909 (goto-char (match-end 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13910 (speedbar-do-function-pointer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13911 (setq project-alist (cdr project-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13912 ; (vhdl-speedbar-update-current-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13913 ; (vhdl-speedbar-update-current-unit nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13914 )
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13915
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13916 (defun vhdl-speedbar-insert-project-hierarchy (project indent &optional rescan)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13917 "Insert hierarchy of project. Rescan directories if RESCAN is non-nil,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13918 otherwise use cached data."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13919 (when (or rescan (and (not (assoc project vhdl-file-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13920 (not (vhdl-load-cache project))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13921 (vhdl-scan-project-contents project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13922 ;; insert design hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13923 (vhdl-speedbar-insert-hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13924 (aget vhdl-entity-alist project t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13925 (aget vhdl-config-alist project t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13926 (aget vhdl-package-alist project t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13927 (car (aget vhdl-ent-inst-alist project t)) indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13928 (insert (int-to-string indent) ":\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13929 (put-text-property (- (point) 3) (1- (point)) 'invisible t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13930 (put-text-property (1- (point)) (point) 'invisible nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13931 ;; expand design units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13932 (vhdl-speedbar-expand-units project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13933
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13934 (defun vhdl-speedbar-insert-dir-hierarchy (directory depth &optional rescan)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13935 "Insert hierarchy of DIRECTORY. Rescan directory if RESCAN is non-nil,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13936 otherwise use cached data."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13937 (when (or rescan (and (not (assoc directory vhdl-file-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13938 (not (vhdl-load-cache directory))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13939 (vhdl-scan-directory-contents directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13940 ;; insert design hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13941 (vhdl-speedbar-insert-hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13942 (aget vhdl-entity-alist directory t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13943 (aget vhdl-config-alist directory t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13944 (aget vhdl-package-alist directory t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13945 (car (aget vhdl-ent-inst-alist directory t)) depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13946 ;; expand design units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13947 (vhdl-speedbar-expand-units directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13948 (aput 'vhdl-directory-alist directory (list (list directory))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13949
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13950 (defun vhdl-speedbar-insert-hierarchy (ent-alist conf-alist pack-alist
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13951 ent-inst-list depth)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13952 "Insert hierarchy of ENT-ALIST, CONF-ALIST, and PACK-ALIST."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13953 (if (not (or ent-alist conf-alist pack-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13954 (vhdl-speedbar-make-title-line "No VHDL design units!" depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13955 (let (ent-entry conf-entry pack-entry)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13956 ;; insert entities
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13957 (when ent-alist (vhdl-speedbar-make-title-line "Entities:" depth))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13958 (while ent-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13959 (setq ent-entry (car ent-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13960 (speedbar-make-tag-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13961 'bracket ?+ 'vhdl-speedbar-expand-entity (nth 0 ent-entry)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13962 (nth 1 ent-entry) 'vhdl-speedbar-find-file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13963 (cons (nth 2 ent-entry) (nth 3 ent-entry))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13964 'vhdl-speedbar-entity-face depth)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13965 (unless (nth 2 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13966 (end-of-line 0) (insert "!") (forward-char 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13967 (unless (member (nth 0 ent-entry) ent-inst-list)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13968 (end-of-line 0) (insert " (top)") (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13969 (setq ent-alist (cdr ent-alist)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13970 ;; insert configurations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13971 (when conf-alist (vhdl-speedbar-make-title-line "Configurations:" depth))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13972 (while conf-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13973 (setq conf-entry (car conf-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13974 (speedbar-make-tag-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13975 'bracket ?+ 'vhdl-speedbar-expand-config (nth 0 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13976 (nth 1 conf-entry) 'vhdl-speedbar-find-file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13977 (cons (nth 2 conf-entry) (nth 3 conf-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13978 'vhdl-speedbar-configuration-face depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13979 (setq conf-alist (cdr conf-alist)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13980 ;; insert packages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13981 (when pack-alist (vhdl-speedbar-make-title-line "Packages:" depth))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13982 (while pack-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13983 (setq pack-entry (car pack-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13984 (vhdl-speedbar-make-pack-line
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13985 (nth 0 pack-entry) (nth 1 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13986 (cons (nth 2 pack-entry) (nth 3 pack-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13987 (cons (nth 7 pack-entry) (nth 8 pack-entry))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13988 depth)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13989 (setq pack-alist (cdr pack-alist))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13990
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13991 (defun vhdl-speedbar-rescan-hierarchy ()
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13992 "Rescan hierarchy for the directory or project under the cursor."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13993 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13994 (let (key path)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13995 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13996 ;; current project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13997 (vhdl-speedbar-show-projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13998 (setq key (vhdl-speedbar-line-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13999 (vhdl-scan-project-contents key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14000 ;; top-level directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14001 ((save-excursion (beginning-of-line) (looking-at "[^0-9]"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14002 (re-search-forward "[0-9]+:" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14003 (vhdl-scan-directory-contents
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14004 (abbreviate-file-name (speedbar-line-path))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14005 ;; current directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14006 (t (setq path (speedbar-line-path))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14007 (string-match "^\\(.+[/\\]\\)" path)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14008 (vhdl-scan-directory-contents
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14009 (abbreviate-file-name (match-string 1 path)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14010 (vhdl-speedbar-refresh key)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14011
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14012 (defun vhdl-speedbar-expand-dirs (directory)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14013 "Expand subdirectories in DIRECTORY according to
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14014 `speedbar-shown-directories'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14015 ;; (nicked from `speedbar-default-directory-list')
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14016 (let ((sf (cdr (reverse speedbar-shown-directories)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14017 (vhdl-speedbar-update-current-unit nil))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14018 (setq speedbar-shown-directories
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14019 (list (expand-file-name default-directory)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14020 (while sf
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14021 (when (speedbar-goto-this-file (car sf))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14022 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14023 (when (looking-at "[0-9]+:\\s-*<")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14024 (goto-char (match-end 0))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14025 (speedbar-do-function-pointer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14026 (setq sf (cdr sf))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14027 (vhdl-speedbar-update-current-unit nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14028
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14029 (defun vhdl-speedbar-expand-units (key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14030 "Expand design units in directory/project KEY according to
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14031 `vhdl-speedbar-shown-unit-alist'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14032 (let ((unit-alist (aget vhdl-speedbar-shown-unit-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14033 (vhdl-speedbar-update-current-unit nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14034 vhdl-updated-project-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14035 (adelete 'vhdl-speedbar-shown-unit-alist key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14036 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14037 (while unit-alist ; expand units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14038 (vhdl-speedbar-goto-this-unit key (caar unit-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14039 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14040 (let ((arch-alist (nth 1 (car unit-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14041 position)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14042 (when (looking-at "^[0-9]+:\\s-*\\[")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14043 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14044 (setq position (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14045 (speedbar-do-function-pointer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14046 (select-frame speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14047 (while arch-alist ; expand architectures
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14048 (goto-char position)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14049 (when (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14050 (concat "^[0-9]+:\\s-*\\(\\[\\|{.}\\s-+"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14051 (car arch-alist) "\\>\\)") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14052 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14053 (when (looking-at "^[0-9]+:\\s-*{")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14054 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14055 (speedbar-do-function-pointer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14056 (select-frame speedbar-frame)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14057 (setq arch-alist (cdr arch-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14058 (setq unit-alist (cdr unit-alist))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14059 (vhdl-speedbar-update-current-unit nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14060
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14061 (defun vhdl-speedbar-contract-level ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14062 "Contract current level in current directory/project."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14063 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14064 (when (or (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14065 (beginning-of-line) (looking-at "^[0-9]:\\s-*[[{<]-"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14066 (and (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14067 (beginning-of-line) (looking-at "^\\([0-9]+\\):"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14068 (re-search-backward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14069 (format "^[0-%d]:\\s-*[[{<]-"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14070 (max (1- (string-to-int (match-string 1))) 0)) nil t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14071 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14072 (speedbar-do-function-pointer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14073 (speedbar-center-buffer-smartly)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14074
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14075 (defun vhdl-speedbar-contract-all ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14076 "Contract all expanded design units in current directory/project."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14077 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14078 (if (and vhdl-speedbar-show-projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14079 (save-excursion (beginning-of-line) (looking-at "^0:")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14080 (progn (setq vhdl-speedbar-shown-project-list nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14081 (vhdl-speedbar-refresh))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14082 (let ((key (vhdl-speedbar-line-key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14083 (adelete 'vhdl-speedbar-shown-unit-alist key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14084 (vhdl-speedbar-refresh (and vhdl-speedbar-show-projects key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14085 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14086 (add-to-list 'vhdl-updated-project-list key)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14087
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14088 (defun vhdl-speedbar-expand-all ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14089 "Expand all design units in current directory/project."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14090 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14091 (let* ((key (vhdl-speedbar-line-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14092 (ent-alist (aget vhdl-entity-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14093 (conf-alist (aget vhdl-config-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14094 (pack-alist (aget vhdl-package-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14095 arch-alist unit-alist subunit-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14096 (add-to-list 'vhdl-speedbar-shown-project-list key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14097 (while ent-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14098 (setq arch-alist (nth 4 (car ent-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14099 (setq subunit-alist nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14100 (while arch-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14101 (setq subunit-alist (cons (caar arch-alist) subunit-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14102 (setq arch-alist (cdr arch-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14103 (setq unit-alist (cons (list (caar ent-alist) subunit-alist) unit-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14104 (setq ent-alist (cdr ent-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14105 (while conf-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14106 (setq unit-alist (cons (list (caar conf-alist)) unit-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14107 (setq conf-alist (cdr conf-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14108 (while pack-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14109 (setq unit-alist (cons (list (caar pack-alist)) unit-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14110 (setq pack-alist (cdr pack-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14111 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14112 (vhdl-speedbar-refresh)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14113 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14114 (add-to-list 'vhdl-updated-project-list key))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14115
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14116 (defun vhdl-speedbar-expand-project (text token indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14117 "Expand/contract the project under the cursor."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14118 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14119 ((string-match "+" text) ; expand project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14120 (speedbar-change-expand-button-char ?-)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14121 (unless (member token vhdl-speedbar-shown-project-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14122 (setq vhdl-speedbar-shown-project-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14123 (cons token vhdl-speedbar-shown-project-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14124 (speedbar-with-writable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14125 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14126 (end-of-line) (forward-char 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14127 (vhdl-speedbar-insert-project-hierarchy token (1+ indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14128 speedbar-power-click))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14129 ((string-match "-" text) ; contract project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14130 (speedbar-change-expand-button-char ?+)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14131 (setq vhdl-speedbar-shown-project-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14132 (delete token vhdl-speedbar-shown-project-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14133 (speedbar-delete-subblock indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14134 (t (error "Nothing to display")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14135 (when (equal (selected-frame) speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14136 (speedbar-center-buffer-smartly)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14137
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14138 (defun vhdl-speedbar-expand-entity (text token indent)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14139 "Expand/contract the entity under the cursor."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14140 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14141 ((string-match "+" text) ; expand entity
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14142 (let* ((key (vhdl-speedbar-line-key indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14143 (ent-alist (aget vhdl-entity-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14144 (ent-entry (aget ent-alist token t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14145 (arch-alist (nth 3 ent-entry))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14146 (inst-alist (vhdl-get-instantiations token indent))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14147 (subpack-alist (nth 4 ent-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14148 arch-entry inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14149 (if (not (or arch-alist inst-alist subpack-alist))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14150 (speedbar-change-expand-button-char ??)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14151 (speedbar-change-expand-button-char ?-)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14152 ;; add entity to `vhdl-speedbar-shown-unit-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14153 (let* ((unit-alist (aget vhdl-speedbar-shown-unit-alist key t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14154 (aput 'unit-alist token nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14155 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14156 (speedbar-with-writable
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14157 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14158 (end-of-line) (forward-char 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14159 ;; insert architectures
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14160 (when arch-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14161 (vhdl-speedbar-make-title-line "Architectures:" (1+ indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14162 (while arch-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14163 (setq arch-entry (car arch-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14164 (speedbar-make-tag-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14165 'curly ?+ 'vhdl-speedbar-expand-architecture
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14166 (cons token (nth 0 arch-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14167 (nth 1 arch-entry) 'vhdl-speedbar-find-file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14168 (cons (nth 2 arch-entry) (nth 3 arch-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14169 'vhdl-speedbar-architecture-face (1+ indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14170 (setq arch-alist (cdr arch-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14171 ;; insert instantiations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14172 (when inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14173 (vhdl-speedbar-make-title-line "Instantiated as:" (1+ indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14174 (while inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14175 (setq inst-entry (car inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14176 (vhdl-speedbar-make-inst-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14177 (nth 0 inst-entry) (nth 1 inst-entry) (nth 2 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14178 (nth 3 inst-entry) (nth 4 inst-entry) (nth 5 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14179 nil nil nil (1+ indent) 0 " in ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14180 (setq inst-alist (cdr inst-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14181 ;; insert required packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14182 (vhdl-speedbar-insert-subpackages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14183 subpack-alist (1+ indent) indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14184 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14185 (add-to-list 'vhdl-updated-project-list key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14186 (vhdl-speedbar-update-current-unit t t))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14187 ((string-match "-" text) ; contract entity
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14188 (speedbar-change-expand-button-char ?+)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14189 ;; remove entity from `vhdl-speedbar-shown-unit-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14190 (let* ((key (vhdl-speedbar-line-key indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14191 (unit-alist (aget vhdl-speedbar-shown-unit-alist key t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14192 (adelete 'unit-alist token)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14193 (if unit-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14194 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14195 (adelete 'vhdl-speedbar-shown-unit-alist key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14196 (speedbar-delete-subblock indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14197 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14198 (add-to-list 'vhdl-updated-project-list key))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14199 (t (error "Nothing to display")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14200 (when (equal (selected-frame) speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14201 (speedbar-center-buffer-smartly)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14202
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14203 (defun vhdl-speedbar-expand-architecture (text token indent)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14204 "Expand/contract the architecture under the cursor."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14205 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14206 ((string-match "+" text) ; expand architecture
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14207 (let* ((key (vhdl-speedbar-line-key (1- indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14208 (ent-alist (aget vhdl-entity-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14209 (conf-alist (aget vhdl-config-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14210 (hier-alist (vhdl-get-hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14211 ent-alist conf-alist (car token) (cdr token) nil nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14212 0 (1- indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14213 (ent-entry (aget ent-alist (car token) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14214 (arch-entry (aget (nth 3 ent-entry) (cdr token) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14215 (subpack-alist (nth 4 arch-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14216 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14217 (if (not (or hier-alist subpack-alist))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14218 (speedbar-change-expand-button-char ??)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14219 (speedbar-change-expand-button-char ?-)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14220 ;; add architecture to `vhdl-speedbar-shown-unit-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14221 (let* ((unit-alist (aget vhdl-speedbar-shown-unit-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14222 (arch-alist (nth 0 (aget unit-alist (car token) t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14223 (aput 'unit-alist (car token) (list (cons (cdr token) arch-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14224 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14225 (speedbar-with-writable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14226 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14227 (end-of-line) (forward-char 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14228 ;; insert instance hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14229 (when hier-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14230 (vhdl-speedbar-make-title-line "Subcomponent hierarchy:"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14231 (1+ indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14232 (while hier-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14233 (setq entry (car hier-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14234 (when (or (= vhdl-speedbar-hierarchy-depth 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14235 (< (nth 9 entry) vhdl-speedbar-hierarchy-depth))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14236 (vhdl-speedbar-make-inst-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14237 (nth 0 entry) (nth 1 entry) (nth 2 entry) (nth 3 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14238 (nth 4 entry) (nth 5 entry) (nth 6 entry) (nth 7 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14239 (nth 8 entry) (1+ indent) (1+ (nth 9 entry)) ": "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14240 (setq hier-alist (cdr hier-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14241 ;; insert required packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14242 (vhdl-speedbar-insert-subpackages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14243 subpack-alist (1+ indent) (1- indent))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14244 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14245 (add-to-list 'vhdl-updated-project-list key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14246 (vhdl-speedbar-update-current-unit t t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14247 ((string-match "-" text) ; contract architecture
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14248 (speedbar-change-expand-button-char ?+)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14249 ;; remove architecture from `vhdl-speedbar-shown-unit-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14250 (let* ((key (vhdl-speedbar-line-key (1- indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14251 (unit-alist (aget vhdl-speedbar-shown-unit-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14252 (arch-alist (nth 0 (aget unit-alist (car token) t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14253 (aput 'unit-alist (car token) (list (delete (cdr token) arch-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14254 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14255 (speedbar-delete-subblock indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14256 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14257 (add-to-list 'vhdl-updated-project-list key))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14258 (t (error "Nothing to display")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14259 (when (equal (selected-frame) speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14260 (speedbar-center-buffer-smartly)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14261
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14262 (defun vhdl-speedbar-expand-config (text token indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14263 "Expand/contract the configuration under the cursor."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14264 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14265 ((string-match "+" text) ; expand configuration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14266 (let* ((key (vhdl-speedbar-line-key indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14267 (conf-alist (aget vhdl-config-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14268 (conf-entry (aget conf-alist token))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14269 (ent-alist (aget vhdl-entity-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14270 (hier-alist (vhdl-get-hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14271 ent-alist conf-alist (nth 3 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14272 (nth 4 conf-entry) token (nth 5 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14273 0 indent t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14274 (subpack-alist (nth 6 conf-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14275 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14276 (if (not (or hier-alist subpack-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14277 (speedbar-change-expand-button-char ??)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14278 (speedbar-change-expand-button-char ?-)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14279 ;; add configuration to `vhdl-speedbar-shown-unit-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14280 (let* ((unit-alist (aget vhdl-speedbar-shown-unit-alist key t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14281 (aput 'unit-alist token nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14282 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14283 (speedbar-with-writable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14284 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14285 (end-of-line) (forward-char 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14286 ;; insert instance hierarchy
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14287 (when hier-alist
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14288 (vhdl-speedbar-make-title-line "Design hierarchy:" (1+ indent)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14289 (while hier-alist
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14290 (setq entry (car hier-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14291 (when (or (= vhdl-speedbar-hierarchy-depth 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14292 (<= (nth 9 entry) vhdl-speedbar-hierarchy-depth))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14293 (vhdl-speedbar-make-inst-line
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14294 (nth 0 entry) (nth 1 entry) (nth 2 entry) (nth 3 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14295 (nth 4 entry) (nth 5 entry) (nth 6 entry) (nth 7 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14296 (nth 8 entry) (1+ indent) (nth 9 entry) ": "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14297 (setq hier-alist (cdr hier-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14298 ;; insert required packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14299 (vhdl-speedbar-insert-subpackages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14300 subpack-alist (1+ indent) indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14301 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14302 (add-to-list 'vhdl-updated-project-list key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14303 (vhdl-speedbar-update-current-unit t t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14304 ((string-match "-" text) ; contract configuration
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14305 (speedbar-change-expand-button-char ?+)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14306 ;; remove configuration from `vhdl-speedbar-shown-unit-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14307 (let* ((key (vhdl-speedbar-line-key indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14308 (unit-alist (aget vhdl-speedbar-shown-unit-alist key t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14309 (adelete 'unit-alist token)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14310 (if unit-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14311 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14312 (adelete 'vhdl-speedbar-shown-unit-alist key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14313 (speedbar-delete-subblock indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14314 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14315 (add-to-list 'vhdl-updated-project-list key))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14316 (t (error "Nothing to display")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14317 (when (equal (selected-frame) speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14318 (speedbar-center-buffer-smartly)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14319
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14320 (defun vhdl-speedbar-expand-package (text token indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14321 "Expand/contract the package under the cursor."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14322 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14323 ((string-match "+" text) ; expand package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14324 (let* ((key (vhdl-speedbar-line-key indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14325 (pack-alist (aget vhdl-package-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14326 (pack-entry (aget pack-alist token t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14327 (comp-alist (nth 3 pack-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14328 (func-alist (nth 4 pack-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14329 (func-body-alist (nth 8 pack-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14330 (subpack-alist (append (nth 5 pack-entry) (nth 9 pack-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14331 comp-entry func-entry func-body-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14332 (if (not (or comp-alist func-alist subpack-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14333 (speedbar-change-expand-button-char ??)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14334 (speedbar-change-expand-button-char ?-)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14335 ;; add package to `vhdl-speedbar-shown-unit-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14336 (let* ((unit-alist (aget vhdl-speedbar-shown-unit-alist key t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14337 (aput 'unit-alist token nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14338 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14339 (speedbar-with-writable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14340 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14341 (end-of-line) (forward-char 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14342 ;; insert components
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14343 (when comp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14344 (vhdl-speedbar-make-title-line "Components:" (1+ indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14345 (while comp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14346 (setq comp-entry (car comp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14347 (speedbar-make-tag-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14348 nil nil nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14349 (cons token (nth 0 comp-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14350 (nth 1 comp-entry) 'vhdl-speedbar-find-file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14351 (cons (nth 2 comp-entry) (nth 3 comp-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14352 'vhdl-speedbar-entity-face (1+ indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14353 (setq comp-alist (cdr comp-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14354 ;; insert subprograms
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14355 (when func-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14356 (vhdl-speedbar-make-title-line "Subprograms:" (1+ indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14357 (while func-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14358 (setq func-entry (car func-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14359 func-body-entry (aget func-body-alist (car func-entry) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14360 (when (nth 2 func-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14361 (vhdl-speedbar-make-subprogram-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14362 (nth 1 func-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14363 (cons (nth 2 func-entry) (nth 3 func-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14364 (cons (nth 1 func-body-entry) (nth 2 func-body-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14365 (1+ indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14366 (setq func-alist (cdr func-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14367 ;; insert required packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14368 (vhdl-speedbar-insert-subpackages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14369 subpack-alist (1+ indent) indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14370 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14371 (add-to-list 'vhdl-updated-project-list key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14372 (vhdl-speedbar-update-current-unit t t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14373 ((string-match "-" text) ; contract package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14374 (speedbar-change-expand-button-char ?+)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14375 ;; remove package from `vhdl-speedbar-shown-unit-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14376 (let* ((key (vhdl-speedbar-line-key indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14377 (unit-alist (aget vhdl-speedbar-shown-unit-alist key t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14378 (adelete 'unit-alist token)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14379 (if unit-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14380 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14381 (adelete 'vhdl-speedbar-shown-unit-alist key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14382 (speedbar-delete-subblock indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14383 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14384 (add-to-list 'vhdl-updated-project-list key))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14385 (t (error "Nothing to display")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14386 (when (equal (selected-frame) speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14387 (speedbar-center-buffer-smartly)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14388
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14389 (defun vhdl-speedbar-insert-subpackages (subpack-alist indent dir-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14390 "Insert required packages."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14391 (let* ((pack-alist (aget vhdl-package-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14392 (vhdl-speedbar-line-key dir-indent) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14393 pack-key lib-name pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14394 (when subpack-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14395 (vhdl-speedbar-make-title-line "Packages Used:" indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14396 (while subpack-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14397 (setq pack-key (cdar subpack-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14398 lib-name (caar subpack-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14399 (setq pack-entry (aget pack-alist pack-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14400 (vhdl-speedbar-make-subpack-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14401 (or (nth 0 pack-entry) pack-key) lib-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14402 (cons (nth 1 pack-entry) (nth 2 pack-entry)) indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14403 (setq subpack-alist (cdr subpack-alist)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14404
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14405 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14406 ;; Display help functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14407
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14408 (defvar vhdl-speedbar-update-current-unit t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14409 "Non-nil means to run `vhdl-speedbar-update-current-unit'.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14410
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14411 (defun vhdl-speedbar-update-current-project ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14412 "Highlight project that is currently active."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14413 (when (and vhdl-speedbar-show-projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14414 (not (equal vhdl-speedbar-last-selected-project vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14415 (and (boundp 'speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14416 (frame-live-p speedbar-frame)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14417 (let ((last-frame (selected-frame))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14418 (project-alist vhdl-project-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14419 pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14420 (select-frame speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14421 (speedbar-with-writable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14422 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14423 (while project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14424 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14425 (when (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14426 (concat "<.> \\(" (caar project-alist) "\\)$") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14427 (put-text-property (match-beginning 1) (match-end 1) 'face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14428 (if (equal (caar project-alist) vhdl-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14429 'speedbar-selected-face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14430 'speedbar-directory-face))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14431 (when (equal (caar project-alist) vhdl-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14432 (setq pos (1- (match-beginning 1)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14433 (setq project-alist (cdr project-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14434 (when pos (goto-char pos)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14435 (select-frame last-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14436 (setq vhdl-speedbar-last-selected-project vhdl-project)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14437 t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14438
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14439 (defun vhdl-speedbar-update-current-unit (&optional no-position always)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14440 "Highlight all design units that are contained in the current file.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14441 NO-POSITION non-nil means do not re-position cursor."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14442 (let ((last-frame (selected-frame))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14443 (project-list vhdl-speedbar-shown-project-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14444 file-alist pos file-name)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14445 ;; get current file name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14446 (if (fboundp 'speedbar-select-attached-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14447 (speedbar-select-attached-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14448 (select-frame speedbar-attached-frame))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14449 (setq file-name (abbreviate-file-name (or (buffer-file-name) "")))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14450 (when (and vhdl-speedbar-update-current-unit
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14451 (or always (not (equal file-name speedbar-last-selected-file))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14452 (if vhdl-speedbar-show-projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14453 (while project-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14454 (setq file-alist (append file-alist (aget vhdl-file-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14455 (car project-list) t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14456 (setq project-list (cdr project-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14457 (setq file-alist (aget vhdl-file-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14458 (abbreviate-file-name default-directory) t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14459 (select-frame speedbar-frame)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14460 (set-buffer speedbar-buffer)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14461 (speedbar-with-writable
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14462 (vhdl-prepare-search-1
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14463 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14464 ;; unhighlight last units
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14465 (let* ((file-entry (aget file-alist speedbar-last-selected-file t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14466 (vhdl-speedbar-update-units
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14467 "\\[.\\] " (nth 0 file-entry)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14468 speedbar-last-selected-file 'vhdl-speedbar-entity-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14469 (vhdl-speedbar-update-units
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14470 "{.} " (nth 1 file-entry)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14471 speedbar-last-selected-file 'vhdl-speedbar-architecture-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14472 (vhdl-speedbar-update-units
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14473 "\\[.\\] " (nth 3 file-entry)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14474 speedbar-last-selected-file 'vhdl-speedbar-configuration-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14475 (vhdl-speedbar-update-units
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14476 "[]>] " (nth 4 file-entry)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14477 speedbar-last-selected-file 'vhdl-speedbar-package-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14478 (vhdl-speedbar-update-units
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14479 "\\[.\\].+(" '("body")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14480 speedbar-last-selected-file 'vhdl-speedbar-package-face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14481 (vhdl-speedbar-update-units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14482 "> " (nth 6 file-entry)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14483 speedbar-last-selected-file 'vhdl-speedbar-instantiation-face))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14484 ;; highlight current units
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14485 (let* ((file-entry (aget file-alist file-name t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14486 (setq
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14487 pos (vhdl-speedbar-update-units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14488 "\\[.\\] " (nth 0 file-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14489 file-name 'vhdl-speedbar-entity-selected-face pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14490 pos (vhdl-speedbar-update-units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14491 "{.} " (nth 1 file-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14492 file-name 'vhdl-speedbar-architecture-selected-face pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14493 pos (vhdl-speedbar-update-units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14494 "\\[.\\] " (nth 3 file-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14495 file-name 'vhdl-speedbar-configuration-selected-face pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14496 pos (vhdl-speedbar-update-units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14497 "[]>] " (nth 4 file-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14498 file-name 'vhdl-speedbar-package-selected-face pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14499 pos (vhdl-speedbar-update-units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14500 "\\[.\\].+(" '("body")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14501 file-name 'vhdl-speedbar-package-selected-face pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14502 pos (vhdl-speedbar-update-units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14503 "> " (nth 6 file-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14504 file-name 'vhdl-speedbar-instantiation-selected-face pos))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14505 ;; move speedbar so the first highlighted unit is visible
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14506 (when (and pos (not no-position))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14507 (goto-char pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14508 (speedbar-center-buffer-smartly)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14509 (speedbar-position-cursor-on-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14510 (setq speedbar-last-selected-file file-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14511 (select-frame last-frame)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14512 t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14513
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14514 (defun vhdl-speedbar-update-units (text unit-list file-name face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14515 &optional pos)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14516 "Help function to highlight design units."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14517 (while unit-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14518 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14519 (while (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14520 (concat text "\\(" (car unit-list) "\\)\\>") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14521 (when (equal file-name (car (get-text-property
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14522 (match-beginning 1) 'speedbar-token)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14523 (setq pos (or pos (point-marker)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14524 (put-text-property (match-beginning 1) (match-end 1) 'face face)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14525 (setq unit-list (cdr unit-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14526 pos)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14527
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14528 (defun vhdl-speedbar-make-inst-line (inst-name inst-file-marker
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14529 ent-name ent-file-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14530 arch-name arch-file-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14531 conf-name conf-file-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14532 lib-name depth offset delimiter)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14533 "Insert instantiation entry."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14534 (let ((start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14535 visible-start)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14536 (insert (int-to-string depth) ":")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14537 (put-text-property start (point) 'invisible t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14538 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14539 (insert-char ? (* depth speedbar-indentation-width))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14540 (while (> offset 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14541 (insert "|")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14542 (insert-char (if (= offset 1) ?- ? ) (1- speedbar-indentation-width))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14543 (setq offset (1- offset)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14544 (put-text-property visible-start (point) 'invisible nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14545 (setq start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14546 (insert ">")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14547 (speedbar-make-button start (point) nil nil nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14548 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14549 (insert " ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14550 (setq start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14551 (if (not inst-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14552 (insert "(top)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14553 (insert inst-name)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14554 (speedbar-make-button
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14555 start (point) 'vhdl-speedbar-instantiation-face 'speedbar-highlight-face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14556 'vhdl-speedbar-find-file inst-file-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14557 (insert delimiter)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14558 (when ent-name
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14559 (setq start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14560 (insert ent-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14561 (speedbar-make-button
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14562 start (point) 'vhdl-speedbar-entity-face 'speedbar-highlight-face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14563 'vhdl-speedbar-find-file ent-file-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14564 (when arch-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14565 (insert " (")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14566 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14567 (insert arch-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14568 (speedbar-make-button
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14569 start (point) 'vhdl-speedbar-architecture-face 'speedbar-highlight-face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14570 'vhdl-speedbar-find-file arch-file-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14571 (insert ")"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14572 (when conf-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14573 (insert " (")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14574 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14575 (insert conf-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14576 (speedbar-make-button
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14577 start (point) 'vhdl-speedbar-configuration-face 'speedbar-highlight-face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14578 'vhdl-speedbar-find-file conf-file-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14579 (insert ")")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14580 (when (and lib-name (not (equal lib-name (downcase (vhdl-work-library)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14581 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14582 (insert " (" lib-name ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14583 (put-text-property (+ 2 start) (1- (point)) 'face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14584 'vhdl-speedbar-library-face))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14585 (insert-char ?\n 1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14586 (put-text-property visible-start (point) 'invisible nil)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14587
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14588 (defun vhdl-speedbar-make-pack-line (pack-key pack-name pack-file-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14589 body-file-marker depth)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14590 "Insert package entry."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14591 (let ((start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14592 visible-start)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14593 (insert (int-to-string depth) ":")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14594 (put-text-property start (point) 'invisible t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14595 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14596 (insert-char ? (* depth speedbar-indentation-width))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14597 (put-text-property visible-start (point) 'invisible nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14598 (setq start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14599 (insert "[+]")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14600 (speedbar-make-button
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14601 start (point) 'speedbar-button-face 'speedbar-highlight-face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14602 'vhdl-speedbar-expand-package pack-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14603 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14604 (insert-char ? 1 nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14605 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14606 (insert pack-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14607 (speedbar-make-button
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14608 start (point) 'vhdl-speedbar-package-face 'speedbar-highlight-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14609 'vhdl-speedbar-find-file pack-file-marker)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14610 (unless (car pack-file-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14611 (insert "!"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14612 (when (car body-file-marker)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14613 (insert " (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14614 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14615 (insert "body")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14616 (speedbar-make-button
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14617 start (point) 'vhdl-speedbar-package-face 'speedbar-highlight-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14618 'vhdl-speedbar-find-file body-file-marker)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14619 (insert ")"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14620 (insert-char ?\n 1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14621 (put-text-property visible-start (point) 'invisible nil)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14622
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14623 (defun vhdl-speedbar-make-subpack-line (pack-name lib-name pack-file-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14624 depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14625 "Insert used package entry."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14626 (let ((start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14627 visible-start)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14628 (insert (int-to-string depth) ":")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14629 (put-text-property start (point) 'invisible t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14630 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14631 (insert-char ? (* depth speedbar-indentation-width))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14632 (put-text-property visible-start (point) 'invisible nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14633 (setq start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14634 (insert ">")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14635 (speedbar-make-button start (point) nil nil nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14636 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14637 (insert " ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14638 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14639 (insert pack-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14640 (speedbar-make-button
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14641 start (point) 'vhdl-speedbar-package-face 'speedbar-highlight-face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14642 'vhdl-speedbar-find-file pack-file-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14643 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14644 (insert " (" lib-name ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14645 (put-text-property (+ 2 start) (1- (point)) 'face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14646 'vhdl-speedbar-library-face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14647 (insert-char ?\n 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14648 (put-text-property visible-start (point) 'invisible nil)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14649
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14650 (defun vhdl-speedbar-make-subprogram-line (func-name func-file-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14651 func-body-file-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14652 depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14653 "Insert subprogram entry."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14654 (let ((start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14655 visible-start)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14656 (insert (int-to-string depth) ":")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14657 (put-text-property start (point) 'invisible t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14658 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14659 (insert-char ? (* depth speedbar-indentation-width))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14660 (put-text-property visible-start (point) 'invisible nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14661 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14662 (insert ">")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14663 (speedbar-make-button start (point) nil nil nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14664 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14665 (insert " ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14666 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14667 (insert func-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14668 (speedbar-make-button
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14669 start (point) 'vhdl-speedbar-subprogram-face 'speedbar-highlight-face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14670 'vhdl-speedbar-find-file func-file-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14671 (when (car func-body-file-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14672 (insert " (")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14673 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14674 (insert "body")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14675 (speedbar-make-button
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14676 start (point) 'vhdl-speedbar-subprogram-face 'speedbar-highlight-face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14677 'vhdl-speedbar-find-file func-body-file-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14678 (insert ")"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14679 (insert-char ?\n 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14680 (put-text-property visible-start (point) 'invisible nil)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14681
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14682 (defun vhdl-speedbar-make-title-line (text &optional depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14683 "Insert design unit title entry."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14684 (let ((start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14685 visible-start)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14686 (when depth
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14687 (insert (int-to-string depth) ":")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14688 (put-text-property start (point) 'invisible t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14689 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14690 (insert-char ? (* (or depth 0) speedbar-indentation-width))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14691 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14692 (insert text)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14693 (speedbar-make-button start (point) nil nil nil nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14694 (insert-char ?\n 1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14695 (put-text-property visible-start (point) 'invisible nil)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14696
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14697 (defun vhdl-speedbar-insert-dirs (files level)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14698 "Insert subdirectories."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14699 (let ((dirs (car files)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14700 (while dirs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14701 (speedbar-make-tag-line 'angle ?+ 'vhdl-speedbar-dired (car dirs)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14702 (car dirs) 'speedbar-dir-follow nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14703 'speedbar-directory-face level)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14704 (setq dirs (cdr dirs)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14705
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14706 (defun vhdl-speedbar-dired (text token indent)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14707 "Speedbar click handler for directory expand button in hierarchy mode."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14708 (cond ((string-match "+" text) ; we have to expand this dir
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14709 (setq speedbar-shown-directories
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14710 (cons (expand-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14711 (concat (speedbar-line-path indent) token "/"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14712 speedbar-shown-directories))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14713 (speedbar-change-expand-button-char ?-)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14714 (speedbar-reset-scanners)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14715 (speedbar-with-writable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14716 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14717 (end-of-line) (forward-char 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14718 (vhdl-speedbar-insert-dirs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14719 (speedbar-file-lists
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14720 (concat (speedbar-line-path indent) token "/"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14721 (1+ indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14722 (speedbar-reset-scanners)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14723 (vhdl-speedbar-insert-dir-hierarchy
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14724 (abbreviate-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14725 (concat (speedbar-line-path indent) token "/"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14726 (1+ indent) speedbar-power-click)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14727 (vhdl-speedbar-update-current-unit t t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14728 ((string-match "-" text) ; we have to contract this node
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14729 (speedbar-reset-scanners)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14730 (let ((oldl speedbar-shown-directories)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14731 (newl nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14732 (td (expand-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14733 (concat (speedbar-line-path indent) token))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14734 (while oldl
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14735 (if (not (string-match (concat "^" (regexp-quote td)) (car oldl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14736 (setq newl (cons (car oldl) newl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14737 (setq oldl (cdr oldl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14738 (setq speedbar-shown-directories (nreverse newl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14739 (speedbar-change-expand-button-char ?+)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14740 (speedbar-delete-subblock indent))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14741 (t (error "Nothing to display")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14742 (when (equal (selected-frame) speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14743 (speedbar-center-buffer-smartly)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14744
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14745 (defun vhdl-speedbar-item-info ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14746 "Derive and display information about this line item."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14747 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14748 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14749 ;; skip invisible number info
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14750 (when (looking-at "^[0-9]+:") (goto-char (match-end 0)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14751 (cond
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14752 ;; project/directory entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14753 ((looking-at "\\s-*<[-+?]>\\s-+\\([^\n]+\\)$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14754 (if vhdl-speedbar-show-projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14755 (message "Project \"%s\"" (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14756 (speedbar-files-item-info)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14757 ;; design unit entry
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14758 ((looking-at "\\(\\s-*\\([[{][-+?][]}]\\|[| -]*>\\) \\)\"?\\w")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14759 (goto-char (match-end 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14760 (let ((face (get-text-property (point) 'face)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14761 (message
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14762 "%s \"%s\" in \"%s\""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14763 ;; design unit kind
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14764 (cond ((or (eq face 'vhdl-speedbar-entity-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14765 (eq face 'vhdl-speedbar-entity-selected-face))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14766 (if (equal (match-string 2) ">") "Component" "Entity"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14767 ((or (eq face 'vhdl-speedbar-architecture-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14768 (eq face 'vhdl-speedbar-architecture-selected-face))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14769 "Architecture")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14770 ((or (eq face 'vhdl-speedbar-configuration-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14771 (eq face 'vhdl-speedbar-configuration-selected-face))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14772 "Configuration")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14773 ((or (eq face 'vhdl-speedbar-package-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14774 (eq face 'vhdl-speedbar-package-selected-face))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14775 "Package")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14776 ((or (eq face 'vhdl-speedbar-instantiation-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14777 (eq face 'vhdl-speedbar-instantiation-selected-face))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14778 "Instantiation")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14779 ((eq face 'vhdl-speedbar-subprogram-face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14780 "Subprogram")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14781 (t ""))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14782 ;; design unit name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14783 (buffer-substring-no-properties
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14784 (progn (looking-at "\"?\\(\\(\\w\\|_\\)+\\)\"?") (match-beginning 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14785 (match-end 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14786 ;; file name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14787 (file-relative-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14788 (or (car (get-text-property (point) 'speedbar-token))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14789 "?")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14790 (vhdl-default-directory)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14791 (t (message "")))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14792
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14793 (defun vhdl-speedbar-line-text ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14794 "Calls `speedbar-line-text' and removes text properties."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14795 (let ((string (speedbar-line-text)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14796 (set-text-properties 0 (length string) nil string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14797 string))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14798
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14799 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14800 ;; Help functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14801
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14802 (defun vhdl-speedbar-line-key (&optional indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14803 "Get currently displayed directory of project name."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14804 (if vhdl-speedbar-show-projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14805 (vhdl-speedbar-line-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14806 (abbreviate-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14807 (file-name-as-directory (speedbar-line-path indent)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14808
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14809 (defun vhdl-speedbar-line-project (&optional indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14810 "Get currently displayed project name."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14811 (and vhdl-speedbar-show-projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14812 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14813 (end-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14814 (re-search-backward "^[0-9]+:\\s-*<[-+?]>\\s-+\\([^\n]+\\)$" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14815 (match-string-no-properties 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14816
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14817 (defun vhdl-add-modified-file ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14818 "Add file to `vhdl-modified-file-list'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14819 (when vhdl-file-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14820 (add-to-list 'vhdl-modified-file-list (buffer-file-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14821 nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14822
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14823 (defun vhdl-resolve-paths (path-list)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14824 "Resolve path wildcards in PATH-LIST."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14825 (let (path-list-1 path-list-2 path-beg path-end dir)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14826 ;; eliminate non-existent directories
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14827 (while path-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14828 (setq dir (car path-list))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14829 (string-match "\\(-r \\)?\\(\\([^?*]*[/\\]\\)*\\)" dir)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14830 (if (file-directory-p (match-string 2 dir))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14831 (setq path-list-1 (cons dir path-list-1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14832 (vhdl-warning-when-idle "No such directory: \"%s\"" (match-string 2 dir)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14833 (setq path-list (cdr path-list)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14834 ;; resolve path wildcards
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14835 (while path-list-1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14836 (setq dir (car path-list-1))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14837 (if (string-match "\\(-r \\)?\\(\\([^?*]*[/\\]\\)*\\)\\([^/\\]*[?*][^/\\]*\\)\\([/\\].*\\)" dir)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14838 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14839 (setq path-beg (match-string 1 dir)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14840 path-end (match-string 5 dir))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14841 (setq path-list-1
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14842 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14843 (mapcar
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14844 (function
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14845 (lambda (var) (concat path-beg var path-end)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14846 (let ((all-list (vhdl-directory-files
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14847 (match-string 2 dir) t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14848 (concat "\\<" (wildcard-to-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14849 (match-string 4 dir)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14850 dir-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14851 (while all-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14852 (when (file-directory-p (car all-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14853 (setq dir-list (cons (car all-list) dir-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14854 (setq all-list (cdr all-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14855 dir-list))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14856 (cdr path-list-1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14857 (string-match "\\(-r \\)?\\(.*\\)[/\\].*" dir)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14858 (when (file-directory-p (match-string 2 dir))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14859 (setq path-list-2 (cons dir path-list-2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14860 (setq path-list-1 (cdr path-list-1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14861 (nreverse path-list-2)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14862
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14863 (defun vhdl-speedbar-goto-this-unit (directory unit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14864 "If UNIT is displayed in DIRECTORY, goto this line and return t, else nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14865 (let ((dest (point)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14866 (if (and (if vhdl-speedbar-show-projects
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14867 (progn (goto-char (point-min)) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14868 (speedbar-goto-this-file directory))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14869 (re-search-forward (concat "[]}] " unit "\\>") nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14870 (progn (speedbar-position-cursor-on-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14871 t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14872 (goto-char dest)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14873 nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14874
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14875 (defun vhdl-speedbar-find-file (text token indent)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14876 "When user clicks on TEXT, load file with name and position in TOKEN.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14877 Jump to the design unit if `vhdl-speedbar-jump-to-unit' is t or if the file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14878 is already shown in a buffer."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14879 (if (not (car token))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14880 (error "ERROR: File cannot be found")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14881 (let ((buffer (get-file-buffer (car token))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14882 (speedbar-find-file-in-frame (car token))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14883 (when (or vhdl-speedbar-jump-to-unit buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14884 (goto-line (cdr token))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14885 (recenter))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14886 (vhdl-speedbar-update-current-unit t t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14887 (speedbar-set-timer speedbar-update-speed)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14888 (speedbar-maybee-jump-to-attached-frame))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14889
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14890 (defun vhdl-speedbar-port-copy ()
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14891 "Copy the port of the entity/component or subprogram under the cursor."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14892 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14893 (let ((is-entity (vhdl-speedbar-check-unit 'entity)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14894 (if (not (or is-entity (vhdl-speedbar-check-unit 'subprogram)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14895 (error "ERROR: No entity/component or subprogram under cursor")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14896 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14897 (if (looking-at "\\([0-9]\\)+:\\s-*\\(\\[[-+?]\\]\\|>\\) \\(\\(\\w\\|\\s_\\)+\\)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14898 (condition-case info
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14899 (let ((token (get-text-property
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14900 (match-beginning 3) 'speedbar-token)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14901 (vhdl-visit-file (car token) t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14902 (progn (goto-line (cdr token))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14903 (end-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14904 (if is-entity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14905 (vhdl-port-copy)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14906 (vhdl-subprog-copy)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14907 (error (error "ERROR: %s not scanned successfully\n (%s)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14908 (if is-entity "Port" "Interface") (cadr info))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14909 (error "ERROR: No entity/component or subprogram on current line")))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14910
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14911 (defun vhdl-speedbar-place-component ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14912 "Place the entity/component under the cursor as component."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14913 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14914 (if (not (vhdl-speedbar-check-unit 'entity))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14915 (error "ERROR: No entity/component under cursor.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14916 (vhdl-speedbar-port-copy)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14917 (if (fboundp 'speedbar-select-attached-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14918 (speedbar-select-attached-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14919 (select-frame speedbar-attached-frame))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14920 (vhdl-compose-place-component)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14921 (select-frame speedbar-frame)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14922
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14923 (defun vhdl-speedbar-make-design ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14924 "Make (compile) design unit or directory/project under the cursor."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14925 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14926 (if (not (save-excursion (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14927 (looking-at "[0-9]+: *\\(\\(\\[\\)\\|<\\)")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14928 (error "ERROR: No primary design unit or directory/project under cursor")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14929 (let ((is-unit (match-string 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14930 (unit-name (vhdl-speedbar-line-text))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14931 (vhdl-project (vhdl-speedbar-line-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14932 (directory (file-name-as-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14933 (or (speedbar-line-file) (speedbar-line-path)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14934 (if (fboundp 'speedbar-select-attached-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14935 (speedbar-select-attached-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14936 (select-frame speedbar-attached-frame))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14937 (let ((default-directory directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14938 (vhdl-make (and is-unit unit-name))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14939
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14940 (defun vhdl-speedbar-generate-makefile ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14941 "Generate Makefile for directory/project under the cursor."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14942 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14943 (let ((vhdl-project (vhdl-speedbar-line-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14944 (default-directory (file-name-as-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14945 (or (speedbar-line-file) (speedbar-line-path)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14946 (vhdl-generate-makefile)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14947
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14948 (defun vhdl-speedbar-check-unit (design-unit)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14949 "Check whether design unit under cursor corresponds to DESIGN-UNIT (or its
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14950 expansion function)."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14951 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14952 (speedbar-position-cursor-on-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14953 (cond ((eq design-unit 'entity)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14954 (memq (get-text-property (match-end 0) 'face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14955 '(vhdl-speedbar-entity-face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14956 vhdl-speedbar-entity-selected-face)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14957 ((eq design-unit 'subprogram)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14958 (eq (get-text-property (match-end 0) 'face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14959 'vhdl-speedbar-subprogram-face))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14960 (t nil))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14961
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14962 (defun vhdl-speedbar-set-depth (depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14963 "Set hierarchy display depth to DEPTH and refresh speedbar."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14964 (setq vhdl-speedbar-hierarchy-depth depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14965 (speedbar-refresh))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14966
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14967 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14968 ;; Fontification
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14969
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14970 (defface vhdl-speedbar-entity-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14971 '((((class color) (background light)) (:foreground "ForestGreen"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14972 (((class color) (background dark)) (:foreground "PaleGreen")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14973 "Face used for displaying entity names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14974 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14975
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14976 (defface vhdl-speedbar-architecture-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14977 '((((class color) (background light)) (:foreground "Blue"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14978 (((class color) (background dark)) (:foreground "LightSkyBlue")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14979 "Face used for displaying architecture names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14980 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14981
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14982 (defface vhdl-speedbar-configuration-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14983 '((((class color) (background light)) (:foreground "DarkGoldenrod"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14984 (((class color) (background dark)) (:foreground "Salmon")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14985 "Face used for displaying configuration names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14986 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14987
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14988 (defface vhdl-speedbar-package-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14989 '((((class color) (background light)) (:foreground "Grey50"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14990 (((class color) (background dark)) (:foreground "Grey80")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14991 "Face used for displaying package names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14992 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14993
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14994 (defface vhdl-speedbar-library-face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14995 '((((class color) (background light)) (:foreground "Purple"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14996 (((class color) (background dark)) (:foreground "Orchid1")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14997 "Face used for displaying library names."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14998 :group 'speedbar-faces)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14999
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15000 (defface vhdl-speedbar-instantiation-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15001 '((((class color) (background light)) (:foreground "Brown"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15002 (((class color) (background dark)) (:foreground "Yellow")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15003 "Face used for displaying instantiation names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15004 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15005
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15006 (defface vhdl-speedbar-subprogram-face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15007 '((((class color) (background light)) (:foreground "Orchid4"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15008 (((class color) (background dark)) (:foreground "BurlyWood2")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15009 "Face used for displaying subprogram names."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15010 :group 'speedbar-faces)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15011
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15012 (defface vhdl-speedbar-entity-selected-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15013 '((((class color) (background light)) (:foreground "ForestGreen" :underline t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15014 (((class color) (background dark)) (:foreground "PaleGreen" :underline t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15015 "Face used for displaying entity names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15016 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15017
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15018 (defface vhdl-speedbar-architecture-selected-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15019 '((((class color) (background light)) (:foreground "Blue" :underline t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15020 (((class color) (background dark)) (:foreground "LightSkyBlue" :underline t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15021 "Face used for displaying architecture names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15022 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15023
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15024 (defface vhdl-speedbar-configuration-selected-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15025 '((((class color) (background light)) (:foreground "DarkGoldenrod" :underline t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15026 (((class color) (background dark)) (:foreground "Salmon" :underline t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15027 "Face used for displaying configuration names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15028 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15029
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15030 (defface vhdl-speedbar-package-selected-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15031 '((((class color) (background light)) (:foreground "Grey50" :underline t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15032 (((class color) (background dark)) (:foreground "Grey80" :underline t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15033 "Face used for displaying package names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15034 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15035
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15036 (defface vhdl-speedbar-instantiation-selected-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15037 '((((class color) (background light)) (:foreground "Brown" :underline t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15038 (((class color) (background dark)) (:foreground "Yellow" :underline t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15039 "Face used for displaying instantiation names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15040 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15041
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15042 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15043 ;; Initialization
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15044
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15045 ;; add speedbar
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15046 (when (fboundp 'speedbar)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15047 (condition-case ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15048 (when (and vhdl-speedbar-auto-open
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15049 (not (and (boundp 'speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15050 (frame-live-p speedbar-frame))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15051 (speedbar-frame-mode 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15052 (if (fboundp 'speedbar-select-attached-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15053 (speedbar-select-attached-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15054 (select-frame speedbar-attached-frame)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15055 (error (vhdl-warning-when-idle "ERROR: An error occurred while opening speedbar"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15056
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15057 ;; initialize speedbar
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15058 (if (not (boundp 'speedbar-frame))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15059 (add-hook 'speedbar-load-hook 'vhdl-speedbar-initialize)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15060 (vhdl-speedbar-initialize)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15061 (when speedbar-frame (vhdl-speedbar-refresh)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15062
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15063
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15064 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15065 ;;; Structural composition
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15066 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15067
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15068 (defun vhdl-get-components-package-name ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15069 "Return the name of the components package."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15070 (let ((project (vhdl-project-p)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15071 (if project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15072 (vhdl-replace-string (car vhdl-components-package-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15073 (subst-char-in-string ? ?_ project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15074 (cdr vhdl-components-package-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15075
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15076 (defun vhdl-compose-new-component ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15077 "Create entity and architecture for new component."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15078 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15079 (let* ((case-fold-search t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15080 (ent-name (read-from-minibuffer "entity name: "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15081 nil vhdl-minibuffer-local-map))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15082 (arch-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15083 (if (equal (cdr vhdl-compose-architecture-name) "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15084 (read-from-minibuffer "architecture name: "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15085 nil vhdl-minibuffer-local-map)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15086 (vhdl-replace-string vhdl-compose-architecture-name ent-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15087 ent-file-name arch-file-name ent-buffer arch-buffer project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15088 (message "Creating component \"%s(%s)\"..." ent-name arch-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15089 ;; open entity file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15090 (unless (eq vhdl-compose-create-files 'none)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15091 (setq ent-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15092 (concat (vhdl-replace-string vhdl-entity-file-name ent-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15093 "." (file-name-extension (buffer-file-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15094 (when (and (file-exists-p ent-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15095 (not (y-or-n-p (concat "File \"" ent-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15096 "\" exists; overwrite? "))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15097 (error "ERROR: Creating component...aborted"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15098 (find-file ent-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15099 (erase-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15100 (set-buffer-modified-p nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15101 ;; insert header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15102 (if vhdl-compose-include-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15103 (progn (vhdl-template-header)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15104 (goto-char (point-max)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15105 (vhdl-comment-display-line) (insert "\n\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15106 ;; insert library clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15107 (vhdl-template-package-std-logic-1164)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15108 (when vhdl-use-components-package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15109 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15110 (vhdl-template-standard-package (vhdl-work-library)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15111 (vhdl-get-components-package-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15112 (insert "\n\n") (vhdl-comment-display-line) (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15113 ;; insert entity declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15114 (vhdl-insert-keyword "ENTITY ") (insert ent-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15115 (vhdl-insert-keyword " IS\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15116 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15117 (indent-to vhdl-basic-offset) (vhdl-insert-keyword "GENERIC (\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15118 (indent-to (* 2 vhdl-basic-offset)) (insert ");\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15119 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15120 (indent-to vhdl-basic-offset) (vhdl-insert-keyword "PORT (\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15121 (indent-to (* 2 vhdl-basic-offset)) (insert ");\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15122 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15123 (vhdl-insert-keyword "END ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15124 (unless (vhdl-standard-p '87) (vhdl-insert-keyword "ENTITY "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15125 (insert ent-name ";\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15126 (vhdl-comment-display-line) (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15127 ;; open architecture file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15128 (if (not (eq vhdl-compose-create-files 'separate))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15129 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15130 (setq ent-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15131 (setq arch-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15132 (concat (vhdl-replace-string vhdl-architecture-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15133 (concat ent-name " " arch-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15134 "." (file-name-extension (buffer-file-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15135 (when (and (file-exists-p arch-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15136 (not (y-or-n-p (concat "File \"" arch-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15137 "\" exists; overwrite? "))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15138 (error "ERROR: Creating component...aborted"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15139 (find-file arch-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15140 (erase-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15141 (set-buffer-modified-p nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15142 ;; insert header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15143 (if vhdl-compose-include-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15144 (progn (vhdl-template-header)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15145 (goto-char (point-max)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15146 (vhdl-comment-display-line) (insert "\n\n")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15147 ;; insert architecture body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15148 (vhdl-insert-keyword "ARCHITECTURE ") (insert arch-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15149 (vhdl-insert-keyword " OF ") (insert ent-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15150 (vhdl-insert-keyword " IS\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15151 (indent-to vhdl-basic-offset) (vhdl-comment-display-line) (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15152 (indent-to vhdl-basic-offset) (insert "-- Internal signal declarations\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15153 (indent-to vhdl-basic-offset) (vhdl-comment-display-line) (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15154 (unless (or vhdl-use-components-package (vhdl-use-direct-instantiation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15155 (indent-to vhdl-basic-offset) (vhdl-comment-display-line) (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15156 (indent-to vhdl-basic-offset) (insert "-- Component declarations\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15157 (indent-to vhdl-basic-offset) (vhdl-comment-display-line) (insert "\n\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15158 (vhdl-insert-keyword "BEGIN")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15159 (when vhdl-self-insert-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15160 (insert " -- ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15161 (unless (vhdl-standard-p '87) (vhdl-insert-keyword "ARCHITECTURE "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15162 (insert arch-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15163 (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15164 (indent-to vhdl-basic-offset) (vhdl-comment-display-line) (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15165 (indent-to vhdl-basic-offset) (insert "-- Component instantiations\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15166 (indent-to vhdl-basic-offset) (vhdl-comment-display-line) (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15167 (vhdl-insert-keyword "END ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15168 (unless (vhdl-standard-p '87) (vhdl-insert-keyword "ARCHITECTURE "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15169 (insert arch-name ";\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15170 ;; insert footer
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15171 (if (and vhdl-compose-include-header (not (equal vhdl-file-footer "")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15172 (vhdl-template-footer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15173 (vhdl-comment-display-line) (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15174 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15175 (setq arch-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15176 (when ent-buffer (set-buffer ent-buffer) (save-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15177 (set-buffer arch-buffer) (save-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15178 (message
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15179 (concat (format "Creating component \"%s(%s)\"...done" ent-name arch-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15180 (and ent-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15181 (format "\n File created: \"%s\"" ent-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15182 (and arch-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15183 (format "\n File created: \"%s\"" arch-file-name))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15184
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15185 (defun vhdl-compose-place-component ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15186 "Place new component by pasting current port as component declaration and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15187 component instantiation."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15188 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15189 (if (not vhdl-port-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15190 (error "ERROR: No port has been read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15191 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15192 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15193 (unless (or (re-search-backward "^architecture[ \t\n]+\\w+[ \t\n]+of[ \t\n]+\\(\\w+\\)[ \t\n]+is\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15194 (re-search-forward "^architecture[ \t\n]+\\w+[ \t\n]+of[ \t\n]+\\(\\w+\\)[ \t\n]+is\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15195 (error "ERROR: No architecture found"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15196 (let* ((ent-name (match-string 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15197 (ent-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15198 (concat (vhdl-replace-string vhdl-entity-file-name ent-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15199 "." (file-name-extension (buffer-file-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15200 (orig-buffer (current-buffer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15201 (message "Placing component \"%s\"..." (nth 0 vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15202 ;; place component declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15203 (unless (or vhdl-use-components-package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15204 (vhdl-use-direct-instantiation)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15205 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15206 (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15207 (concat "^\\s-*component\\s-+"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15208 (car vhdl-port-list) "\\>") nil t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15209 (re-search-forward "^begin\\>" nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15210 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15211 (skip-chars-backward " \t\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15212 (insert "\n\n") (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15213 (vhdl-port-paste-component t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15214 ;; place component instantiation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15215 (re-search-forward "^end\\>" nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15216 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15217 (skip-chars-backward " \t\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15218 (insert "\n\n") (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15219 (vhdl-port-paste-instance nil t t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15220 ;; place use clause for used packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15221 (when (nth 3 vhdl-port-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15222 ;; open entity file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15223 (when (file-exists-p ent-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15224 (find-file ent-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15225 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15226 (unless (re-search-forward (concat "^entity[ \t\n]+" ent-name "[ \t\n]+is\\>") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15227 (error "ERROR: Entity not found: \"%s\"" ent-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15228 (goto-char (match-beginning 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15229 (if (and (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15230 (re-search-backward "^\\(library\\|use\\)\\|end\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15231 (match-string 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15232 (progn (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15233 (beginning-of-line 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15234 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15235 (backward-char))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15236 (vhdl-port-paste-context-clause)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15237 (switch-to-buffer orig-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15238 (message "Placing component \"%s\"...done" (nth 0 vhdl-port-list)))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15239
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15240 (defun vhdl-compose-wire-components ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15241 "Connect components."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15242 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15243 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15244 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15245 (unless (or (re-search-backward "^architecture[ \t\n]+\\w+[ \t\n]+of[ \t\n]+\\(\\w+\\)[ \t\n]+is\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15246 (re-search-forward "^architecture[ \t\n]+\\w+[ \t\n]+of[ \t\n]+\\(\\w+\\)[ \t\n]+is\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15247 (error "ERROR: No architecture found"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15248 (let* ((ent-name (match-string 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15249 (ent-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15250 (concat (vhdl-replace-string vhdl-entity-file-name ent-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15251 "." (file-name-extension (buffer-file-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15252 (arch-decl-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15253 (arch-stat-pos (re-search-forward "^begin\\>" nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15254 (arch-end-pos (re-search-forward "^end\\>" nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15255 (pack-name (vhdl-get-components-package-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15256 (pack-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15257 (concat (vhdl-replace-string vhdl-package-file-name pack-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15258 "." (file-name-extension (buffer-file-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15259 inst-name comp-name comp-ent-name comp-ent-file-name has-generic
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15260 port-alist generic-alist inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15261 signal-name signal-entry signal-alist local-list written-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15262 single-in-list multi-in-list single-out-list multi-out-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15263 constant-name constant-entry constant-alist single-list multi-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15264 port-beg-pos port-in-pos port-out-pos port-inst-pos port-end-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15265 generic-beg-pos generic-pos generic-inst-pos generic-end-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15266 signal-beg-pos signal-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15267 constant-temp-pos port-temp-pos signal-temp-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15268 (message "Wiring components...")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15269 ;; process all instances
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15270 (goto-char arch-stat-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15271 (while (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15272 (concat "^[ \t]*\\(\\w+\\)[ \t\n]*:[ \t\n]*\\("
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15273 "\\(component[ \t\n]+\\)?\\(\\w+\\)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15274 "[ \t\n]+\\(--[^\n]*\n[ \t\n]*\\)*\\(\\(generic\\)\\|port\\)[ \t\n]+map\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15275 "\\(\\(entity\\)\\|configuration\\)[ \t\n]+\\(\\(\\w+\\)\\.\\)?\\(\\w+\\)\\([ \t\n]*(\\(\\w+\\))\\)?"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15276 "[ \t\n]+\\(--[^\n]*\n[ \t\n]*\\)*\\(\\(generic\\)\\|port\\)[ \t\n]+map\\)[ \t\n]*(") arch-end-pos t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15277 (setq inst-name (match-string-no-properties 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15278 comp-name (match-string-no-properties 4)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15279 comp-ent-name (match-string-no-properties 12)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15280 has-generic (or (match-string 7) (match-string 17)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15281 ;; get port ...
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15282 (if comp-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15283 ;; ... from component declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15284 (vhdl-visit-file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15285 (when vhdl-use-components-package pack-file-name) t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15286 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15287 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15288 (unless (re-search-forward (concat "^\\s-*component[ \t\n]+" comp-name "\\>") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15289 (error "ERROR: Component declaration not found: \"%s\"" comp-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15290 (vhdl-port-copy)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15291 ;; ... from entity declaration (direct instantiation)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15292 (setq comp-ent-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15293 (concat (vhdl-replace-string vhdl-entity-file-name comp-ent-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15294 "." (file-name-extension (buffer-file-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15295 (vhdl-visit-file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15296 comp-ent-file-name t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15297 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15298 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15299 (unless (re-search-forward (concat "^\\s-*entity[ \t\n]+" comp-ent-name "\\>") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15300 (error "ERROR: Entity declaration not found: \"%s\"" comp-ent-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15301 (vhdl-port-copy))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15302 (vhdl-port-flatten t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15303 (setq generic-alist (nth 1 vhdl-port-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15304 port-alist (nth 2 vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15305 (setq constant-alist nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15306 signal-alist nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15307 (when has-generic
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15308 ;; process all constants in generic map
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15309 (vhdl-forward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15310 (while (vhdl-parse-string "\\(\\(\\w+\\)[ \t\n]*=>[ \t\n]*\\)?\\(\\w+\\),?" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15311 (setq constant-name (match-string-no-properties 3))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15312 (setq constant-entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15313 (cons constant-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15314 (if (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15315 (or (aget generic-alist (match-string 2) t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15316 (error (format "ERROR: Formal generic \"%s\" mismatch for instance \"%s\"" (match-string 2) inst-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15317 (cdar generic-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15318 (setq constant-alist (cons constant-entry constant-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15319 (setq constant-name (downcase constant-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15320 (if (or (member constant-name single-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15321 (member constant-name multi-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15322 (progn (setq single-list (delete constant-name single-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15323 (add-to-list 'multi-list constant-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15324 (add-to-list 'single-list constant-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15325 (unless (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15326 (setq generic-alist (cdr generic-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15327 (vhdl-forward-syntactic-ws))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15328 (vhdl-re-search-forward "\\<port\\s-+map[ \t\n]*(" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15329 ;; process all signals in port map
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15330 (vhdl-forward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15331 (while (vhdl-parse-string "\\(\\(\\w+\\)[ \t\n]*=>[ \t\n]*\\)?\\(\\w+\\),?" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15332 (setq signal-name (match-string-no-properties 3))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15333 (setq signal-entry (cons signal-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15334 (if (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15335 (or (aget port-alist (match-string 2) t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15336 (error (format "ERROR: Formal port \"%s\" mismatch for instance \"%s\"" (match-string 2) inst-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15337 (cdar port-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15338 (setq signal-alist (cons signal-entry signal-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15339 (setq signal-name (downcase signal-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15340 (if (equal (upcase (nth 2 signal-entry)) "IN")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15341 ;; input signal
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15342 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15343 ((member signal-name local-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15344 nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15345 ((or (member signal-name single-out-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15346 (member signal-name multi-out-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15347 (setq single-out-list (delete signal-name single-out-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15348 (setq multi-out-list (delete signal-name multi-out-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15349 (add-to-list 'local-list signal-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15350 ((member signal-name single-in-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15351 (setq single-in-list (delete signal-name single-in-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15352 (add-to-list 'multi-in-list signal-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15353 ((not (member signal-name multi-in-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15354 (add-to-list 'single-in-list signal-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15355 ;; output signal
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15356 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15357 ((member signal-name local-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15358 nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15359 ((or (member signal-name single-in-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15360 (member signal-name multi-in-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15361 (setq single-in-list (delete signal-name single-in-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15362 (setq multi-in-list (delete signal-name multi-in-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15363 (add-to-list 'local-list signal-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15364 ((member signal-name single-out-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15365 (setq single-out-list (delete signal-name single-out-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15366 (add-to-list 'multi-out-list signal-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15367 ((not (member signal-name multi-out-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15368 (add-to-list 'single-out-list signal-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15369 (unless (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15370 (setq port-alist (cdr port-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15371 (vhdl-forward-syntactic-ws))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15372 (setq inst-alist (cons (list inst-name (nreverse constant-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15373 (nreverse signal-alist)) inst-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15374 ;; prepare signal insertion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15375 (vhdl-goto-marker arch-decl-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15376 (forward-line 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15377 (re-search-forward "^\\s-*-- Internal signal declarations[ \t\n]*-*\n" arch-stat-pos t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15378 (setq signal-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15379 (while (progn (vhdl-forward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15380 (looking-at "signal\\>"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15381 (beginning-of-line 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15382 (delete-region signal-pos (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15383 (setq signal-beg-pos signal-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15384 ;; open entity file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15385 (when (file-exists-p ent-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15386 (find-file ent-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15387 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15388 (unless (re-search-forward (concat "^entity[ \t\n]+" ent-name "[ \t\n]+is\\>") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15389 (error "ERROR: Entity not found: \"%s\"" ent-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15390 ;; prepare generic clause insertion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15391 (unless (and (re-search-forward "\\(^\\s-*generic[ \t\n]*(\\)\\|^end\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15392 (match-string 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15393 (goto-char (match-beginning 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15394 (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15395 (insert "generic ();\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15396 (backward-char 4))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15397 (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15398 (setq generic-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15399 (forward-sexp) (end-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15400 (delete-region generic-pos (point)) (delete-char 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15401 (insert "(\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15402 (when multi-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15403 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15404 (indent-to (* 2 vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15405 (insert "-- global generics\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15406 (setq generic-beg-pos (point-marker) generic-pos (point-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15407 generic-inst-pos (point-marker) generic-end-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15408 ;; prepare port clause insertion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15409 (unless (and (re-search-forward "\\(^\\s-*port[ \t\n]*(\\)\\|^end\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15410 (match-string 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15411 (goto-char (match-beginning 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15412 (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15413 (insert "port ();\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15414 (backward-char 4))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15415 (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15416 (setq port-in-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15417 (forward-sexp) (end-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15418 (delete-region port-in-pos (point)) (delete-char 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15419 (insert "(\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15420 (when (or multi-in-list multi-out-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15421 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15422 (indent-to (* 2 vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15423 (insert "-- global ports\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15424 (setq port-beg-pos (point-marker) port-in-pos (point-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15425 port-out-pos (point-marker) port-inst-pos (point-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15426 port-end-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15427 ;; insert generics, ports and signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15428 (setq inst-alist (nreverse inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15429 (while inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15430 (setq inst-name (nth 0 (car inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15431 constant-alist (nth 1 (car inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15432 signal-alist (nth 2 (car inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15433 constant-temp-pos generic-inst-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15434 port-temp-pos port-inst-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15435 signal-temp-pos signal-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15436 ;; generics
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15437 (while constant-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15438 (setq constant-name (downcase (caar constant-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15439 constant-entry (car constant-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15440 (cond ((member constant-name written-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15441 nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15442 ((member constant-name multi-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15443 (vhdl-goto-marker generic-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15444 (setq generic-end-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15445 (vhdl-max-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15446 generic-end-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15447 (vhdl-compose-insert-generic constant-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15448 (setq generic-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15449 (add-to-list 'written-list constant-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15450 (t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15451 (vhdl-goto-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15452 (vhdl-max-marker generic-inst-pos generic-pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15453 (setq generic-end-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15454 (vhdl-compose-insert-generic constant-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15455 (setq generic-inst-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15456 (add-to-list 'written-list constant-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15457 (setq constant-alist (cdr constant-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15458 (when (/= constant-temp-pos generic-inst-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15459 (vhdl-goto-marker (vhdl-max-marker constant-temp-pos generic-pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15460 (insert "\n") (indent-to (* 2 vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15461 (insert "-- generics for \"" inst-name "\"\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15462 (vhdl-goto-marker generic-inst-pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15463 ;; ports and signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15464 (while signal-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15465 (setq signal-name (downcase (caar signal-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15466 signal-entry (car signal-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15467 (cond ((member signal-name written-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15468 nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15469 ((member signal-name multi-in-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15470 (vhdl-goto-marker port-in-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15471 (setq port-end-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15472 (vhdl-max-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15473 port-end-pos (vhdl-compose-insert-port signal-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15474 (setq port-in-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15475 (add-to-list 'written-list signal-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15476 ((member signal-name multi-out-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15477 (vhdl-goto-marker (vhdl-max-marker port-out-pos port-in-pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15478 (setq port-end-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15479 (vhdl-max-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15480 port-end-pos (vhdl-compose-insert-port signal-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15481 (setq port-out-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15482 (add-to-list 'written-list signal-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15483 ((or (member signal-name single-in-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15484 (member signal-name single-out-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15485 (vhdl-goto-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15486 (vhdl-max-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15487 port-inst-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15488 (vhdl-max-marker port-out-pos port-in-pos)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15489 (setq port-end-pos (vhdl-compose-insert-port signal-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15490 (setq port-inst-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15491 (add-to-list 'written-list signal-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15492 ((equal (upcase (nth 2 signal-entry)) "OUT")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15493 (vhdl-goto-marker signal-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15494 (vhdl-compose-insert-signal signal-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15495 (setq signal-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15496 (add-to-list 'written-list signal-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15497 (setq signal-alist (cdr signal-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15498 (when (/= port-temp-pos port-inst-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15499 (vhdl-goto-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15500 (vhdl-max-marker port-temp-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15501 (vhdl-max-marker port-in-pos port-out-pos)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15502 (insert "\n") (indent-to (* 2 vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15503 (insert "-- ports to \"" inst-name "\"\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15504 (vhdl-goto-marker port-inst-pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15505 (when (/= signal-temp-pos signal-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15506 (vhdl-goto-marker signal-temp-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15507 (insert "\n") (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15508 (insert "-- outputs of \"" inst-name "\"\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15509 (vhdl-goto-marker signal-pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15510 (setq inst-alist (cdr inst-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15511 ;; finalize generic/port clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15512 (vhdl-goto-marker generic-end-pos) (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15513 (when (= generic-beg-pos generic-end-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15514 (insert "\n") (indent-to (* 2 vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15515 (insert ";") (backward-char))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15516 (insert ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15517 (vhdl-goto-marker port-end-pos) (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15518 (when (= port-beg-pos port-end-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15519 (insert "\n") (indent-to (* 2 vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15520 (insert ";") (backward-char))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15521 (insert ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15522 ;; align everything
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15523 (when vhdl-auto-align
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15524 (vhdl-goto-marker generic-beg-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15525 (vhdl-align-region-groups generic-beg-pos generic-end-pos 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15526 (vhdl-align-region-groups port-beg-pos port-end-pos 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15527 (vhdl-goto-marker signal-beg-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15528 (vhdl-align-region-groups signal-beg-pos signal-pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15529 (switch-to-buffer (marker-buffer signal-beg-pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15530 (message "Wiring components...done")))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15531
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15532 (defun vhdl-compose-insert-generic (entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15533 "Insert ENTRY as generic declaration."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15534 (let (pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15535 (indent-to (* 2 vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15536 (insert (nth 0 entry) " : " (nth 1 entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15537 (when (nth 2 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15538 (insert " := " (nth 2 entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15539 (insert ";")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15540 (setq pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15541 (when (and vhdl-include-port-comments (nth 3 entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15542 (vhdl-comment-insert-inline (nth 3 entry) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15543 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15544 pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15545
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15546 (defun vhdl-compose-insert-port (entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15547 "Insert ENTRY as port declaration."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15548 (let (pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15549 (indent-to (* 2 vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15550 (insert (nth 0 entry) " : " (nth 2 entry) " " (nth 3 entry) ";")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15551 (setq pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15552 (when (and vhdl-include-port-comments (nth 4 entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15553 (vhdl-comment-insert-inline (nth 4 entry) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15554 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15555 pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15556
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15557 (defun vhdl-compose-insert-signal (entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15558 "Insert ENTRY as signal declaration."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15559 (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15560 (insert "signal " (nth 0 entry) " : " (nth 3 entry) ";")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15561 (when (and vhdl-include-port-comments (nth 4 entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15562 (vhdl-comment-insert-inline (nth 4 entry) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15563 (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15564
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15565 (defun vhdl-compose-components-package ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15566 "Generate a package containing component declarations for all entities in the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15567 current project/directory."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15568 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15569 (vhdl-require-hierarchy-info)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15570 (let* ((project (vhdl-project-p))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15571 (pack-name (vhdl-get-components-package-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15572 (pack-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15573 (concat (vhdl-replace-string vhdl-package-file-name pack-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15574 "." (file-name-extension (buffer-file-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15575 (ent-alist (aget vhdl-entity-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15576 (or project default-directory) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15577 (lazy-lock-minimum-size 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15578 clause-pos component-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15579 (message "Generating components package \"%s\"..." pack-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15580 ;; open package file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15581 (when (and (file-exists-p pack-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15582 (not (y-or-n-p (concat "File \"" pack-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15583 "\" exists; overwrite? "))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15584 (error "ERROR: Generating components package...aborted"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15585 (find-file pack-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15586 (erase-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15587 ;; insert header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15588 (if vhdl-compose-include-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15589 (progn (vhdl-template-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15590 (concat "Components package (generated by Emacs VHDL Mode "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15591 vhdl-version ")"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15592 (goto-char (point-max)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15593 (vhdl-comment-display-line) (insert "\n\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15594 ;; insert std_logic_1164 package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15595 (vhdl-template-package-std-logic-1164)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15596 (insert "\n") (setq clause-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15597 (insert "\n") (vhdl-comment-display-line) (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15598 ;; insert package declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15599 (vhdl-insert-keyword "PACKAGE ") (insert pack-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15600 (vhdl-insert-keyword " IS\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15601 (indent-to vhdl-basic-offset) (vhdl-comment-display-line) (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15602 (indent-to vhdl-basic-offset) (insert "-- Component declarations\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15603 (indent-to vhdl-basic-offset) (vhdl-comment-display-line) (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15604 (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15605 (setq component-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15606 (insert "\n\n") (vhdl-insert-keyword "END ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15607 (unless (vhdl-standard-p '87) (vhdl-insert-keyword "PACKAGE "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15608 (insert pack-name ";\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15609 ;; insert footer
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15610 (if (and vhdl-compose-include-header (not (equal vhdl-file-footer "")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15611 (vhdl-template-footer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15612 (vhdl-comment-display-line) (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15613 ;; insert component declarations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15614 (while ent-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15615 (vhdl-visit-file (nth 2 (car ent-alist)) nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15616 (progn (goto-line (nth 3 (car ent-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15617 (end-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15618 (vhdl-port-copy)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15619 (goto-char component-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15620 (vhdl-port-paste-component t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15621 (when (cdr ent-alist) (insert "\n\n") (indent-to vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15622 (setq component-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15623 (goto-char clause-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15624 (vhdl-port-paste-context-clause pack-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15625 (setq clause-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15626 (setq ent-alist (cdr ent-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15627 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15628 (save-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15629 (message "Generating components package \"%s\"...done\n File created: \"%s\""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15630 pack-name pack-file-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15631
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15632
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15633 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15634 ;;; Compilation / Makefile generation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15635 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15636 ;; (using `compile.el')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15637
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15638 (defun vhdl-makefile-name ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15639 "Return the Makefile name of the current project or the current compiler if
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15640 no project is defined."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15641 (let ((project-alist (aget vhdl-project-alist vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15642 (compiler-alist (aget vhdl-compiler-alist vhdl-compiler)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15643 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15644 (cons "\\(.*\\)\n\\(.*\\)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15645 (or (nth 8 project-alist) (nth 8 compiler-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15646 (concat (nth 9 compiler-alist) "\n" (nth 6 project-alist)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15647
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15648 (defun vhdl-compile-directory ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15649 "Return the directory where compilation/make should be run."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15650 (let* ((project (aget vhdl-project-alist (vhdl-project-p t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15651 (compiler (aget vhdl-compiler-alist vhdl-compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15652 (directory (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15653 (if project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15654 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15655 (cons "\\(.*\\)" (nth 5 project)) (nth 9 compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15656 (nth 6 compiler)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15657 (file-name-as-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15658 (if (file-name-absolute-p directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15659 directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15660 (expand-file-name directory (vhdl-default-directory))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15661
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15662 (defun vhdl-uniquify (in-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15663 "Remove duplicate elements from IN-LIST."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15664 (let (out-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15665 (while in-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15666 (add-to-list 'out-list (car in-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15667 (setq in-list (cdr in-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15668 out-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15669
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15670 (defun vhdl-set-compiler (name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15671 "Set current compiler to NAME."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15672 (interactive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15673 (list (let ((completion-ignore-case t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15674 (completing-read "Compiler name: " vhdl-compiler-alist nil t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15675 (if (assoc name vhdl-compiler-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15676 (progn (setq vhdl-compiler name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15677 (message "Current compiler: \"%s\"" vhdl-compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15678 (vhdl-warning (format "Unknown compiler: \"%s\"" name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15679
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15680 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15681 ;; Compilation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15682
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15683 (defun vhdl-compile-init ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15684 "Initialize for compilation."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15685 (when (or (null compilation-error-regexp-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15686 (not (assoc (car (nth 11 (car vhdl-compiler-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15687 compilation-error-regexp-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15688 ;; `compilation-error-regexp-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15689 (let ((commands-alist vhdl-compiler-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15690 regexp-alist sublist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15691 (while commands-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15692 (setq sublist (nth 11 (car commands-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15693 (unless (or (equal "" (car sublist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15694 (assoc (car sublist) regexp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15695 (setq regexp-alist (cons (list (nth 0 sublist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15696 (if (= 0 (nth 1 sublist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15697 (if vhdl-xemacs 9 nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15698 (nth 1 sublist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15699 (nth 2 sublist) (nth 3 sublist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15700 regexp-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15701 (setq commands-alist (cdr commands-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15702 (setq compilation-error-regexp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15703 (append compilation-error-regexp-alist (nreverse regexp-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15704 ;; `compilation-file-regexp-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15705 (let ((commands-alist vhdl-compiler-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15706 regexp-alist sublist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15707 ;; matches vhdl-mode file name output
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15708 (setq regexp-alist '(("^Compiling \"\\(.+\\)\"" 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15709 (while commands-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15710 (setq sublist (nth 12 (car commands-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15711 (unless (or (equal "" (car sublist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15712 (assoc (car sublist) regexp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15713 (setq regexp-alist (cons sublist regexp-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15714 (setq commands-alist (cdr commands-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15715 (setq compilation-file-regexp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15716 (append compilation-file-regexp-alist (nreverse regexp-alist))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15717
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15718 (defvar vhdl-compile-file-name nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15719 "Name of file to be compiled.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15720
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15721 (defun vhdl-compile-print-file-name ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15722 "Function called within `compile' to print out file name for compilers that
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15723 do not print any file names."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15724 (insert "Compiling \"" vhdl-compile-file-name "\"\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15725
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15726 (defun vhdl-get-compile-options (project compiler file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15727 &optional file-options-only)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15728 "Get compiler options. Returning nil means do not compile this file."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15729 (let* ((compiler-options (nth 1 compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15730 (project-entry (aget (nth 4 project) vhdl-compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15731 (project-options (nth 0 project-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15732 (exception-list (and file-name (nth 2 project-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15733 (work-library (vhdl-work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15734 (case-fold-search nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15735 file-options)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15736 (while (and exception-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15737 (not (string-match (caar exception-list) file-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15738 (setq exception-list (cdr exception-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15739 (if (and exception-list (not (cdar exception-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15740 nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15741 (if (and file-options-only (not exception-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15742 'default
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15743 (setq file-options (cdar exception-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15744 ;; insert library name in compiler-specific options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15745 (setq compiler-options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15746 (vhdl-replace-string (cons "\\(.*\\)" compiler-options)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15747 work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15748 ;; insert compiler-specific options in project-specific options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15749 (when project-options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15750 (setq project-options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15751 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15752 (cons "\\(.*\\)\n\\(.*\\)" project-options)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15753 (concat work-library "\n" compiler-options))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15754 ;; insert project-specific options in file-specific options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15755 (when file-options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15756 (setq file-options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15757 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15758 (cons "\\(.*\\)\n\\(.*\\)\n\\(.*\\)" file-options)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15759 (concat work-library "\n" compiler-options "\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15760 project-options))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15761 ;; return options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15762 (or file-options project-options compiler-options)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15763
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15764 (defun vhdl-get-make-options (project compiler)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15765 "Get make options."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15766 (let* ((compiler-options (nth 3 compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15767 (project-entry (aget (nth 4 project) vhdl-compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15768 (project-options (nth 1 project-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15769 (makefile-name (vhdl-makefile-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15770 ;; insert Makefile name in compiler-specific options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15771 (setq compiler-options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15772 (vhdl-replace-string (cons "\\(.*\\)" (nth 3 compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15773 makefile-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15774 ;; insert compiler-specific options in project-specific options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15775 (when project-options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15776 (setq project-options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15777 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15778 (cons "\\(.*\\)\n\\(.*\\)" project-options)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15779 (concat makefile-name "\n" compiler-options))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15780 ;; return options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15781 (or project-options compiler-options)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15782
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15783 (defun vhdl-compile ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15784 "Compile current buffer using the VHDL compiler specified in
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15785 `vhdl-compiler'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15786 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15787 (vhdl-compile-init)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15788 (let* ((project (aget vhdl-project-alist vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15789 (compiler (or (aget vhdl-compiler-alist vhdl-compiler nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15790 (error "ERROR: No such compiler: \"%s\"" vhdl-compiler)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15791 (command (nth 0 compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15792 (file-name (buffer-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15793 (options (vhdl-get-compile-options project compiler file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15794 (default-directory (vhdl-compile-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15795 compilation-process-setup-function)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15796 (unless (file-directory-p default-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15797 (error "ERROR: Compile directory does not exist: \"%s\"" default-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15798 ;; put file name into quotes if it contains spaces
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15799 (when (string-match " " file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15800 (setq file-name (concat "\"" file-name "\"")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15801 ;; print out file name if compiler does not
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15802 (setq vhdl-compile-file-name (buffer-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15803 (when (and (= 0 (nth 1 (nth 10 compiler)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15804 (= 0 (nth 1 (nth 11 compiler))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15805 (setq compilation-process-setup-function 'vhdl-compile-print-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15806 ;; run compilation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15807 (if options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15808 (when command
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15809 (compile (concat command " " options " " file-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15810 (vhdl-warning "Your project settings tell me not to compile this file"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15811
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15812 (defun vhdl-make (&optional target)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15813 "Call make command for compilation of all updated source files (requires
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15814 `Makefile'). Optional argument TARGET allows to compile the design
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15815 specified by a target."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15816 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15817 (vhdl-compile-init)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15818 (let* ((project (aget vhdl-project-alist vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15819 (compiler (or (aget vhdl-compiler-alist vhdl-compiler)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15820 (error "ERROR: No such compiler: \"%s\"" vhdl-compiler)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15821 (command (nth 2 compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15822 (options (vhdl-get-make-options project compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15823 (default-directory (vhdl-compile-directory)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15824 (unless (file-directory-p default-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15825 (error "ERROR: Compile directory does not exist: \"%s\"" default-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15826 ;; run make
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15827 (compile (concat (if (equal command "") "make" command)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15828 " " options " " target))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15829
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15830 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15831 ;; Makefile generation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15832
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15833 (defun vhdl-generate-makefile ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15834 "Generate `Makefile'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15835 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15836 (let* ((compiler (or (aget vhdl-compiler-alist vhdl-compiler)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15837 (error "ERROR: No such compiler: \"%s\"" vhdl-compiler)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15838 (command (nth 4 compiler)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15839 ;; generate makefile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15840 (if command
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15841 (let ((default-directory (vhdl-compile-directory)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15842 (compile (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15843 (cons "\\(.*\\) \\(.*\\)" command)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15844 (concat (vhdl-makefile-name) " " (vhdl-work-library)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15845 (vhdl-generate-makefile-1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15846
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15847 (defun vhdl-get-packages (lib-alist work-library)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15848 "Get packages from LIB-ALIST that belong to WORK-LIBRARY."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15849 (let (pack-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15850 (while lib-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15851 (when (equal (downcase (caar lib-alist)) (downcase work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15852 (setq pack-list (cons (cdar lib-alist) pack-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15853 (setq lib-alist (cdr lib-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15854 pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15855
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15856 (defun vhdl-generate-makefile-1 ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15857 "Generate Makefile for current project or directory."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15858 ;; scan hierarchy if required
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15859 (if (vhdl-project-p)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15860 (unless (or (assoc vhdl-project vhdl-file-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15861 (vhdl-load-cache vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15862 (vhdl-scan-project-contents vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15863 (let ((directory (abbreviate-file-name default-directory)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15864 (unless (or (assoc directory vhdl-file-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15865 (vhdl-load-cache directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15866 (vhdl-scan-directory-contents directory))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15867 (let* ((directory (abbreviate-file-name (vhdl-default-directory)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15868 (project (vhdl-project-p))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15869 (ent-alist (aget vhdl-entity-alist (or project directory) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15870 (conf-alist (aget vhdl-config-alist (or project directory) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15871 (pack-alist (aget vhdl-package-alist (or project directory) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15872 (regexp-list (nth 12 (aget vhdl-compiler-alist vhdl-compiler)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15873 (ent-regexp (cons "\\(.*\\)" (nth 0 regexp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15874 (arch-regexp (cons "\\(.*\\) \\(.*\\)" (nth 1 regexp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15875 (conf-regexp (cons "\\(.*\\)" (nth 2 regexp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15876 (pack-regexp (cons "\\(.*\\)" (nth 3 regexp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15877 (pack-body-regexp (cons "\\(.*\\)" (nth 4 regexp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15878 (adjust-case (nth 5 regexp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15879 (work-library (downcase (vhdl-work-library)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15880 (compile-directory (expand-file-name (vhdl-compile-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15881 default-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15882 (makefile-name (vhdl-makefile-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15883 rule-alist arch-alist inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15884 target-list depend-list unit-list prim-list second-list subcomp-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15885 lib-alist lib-body-alist pack-list all-pack-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15886 ent-key ent-file-name arch-key arch-file-name ent-arch-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15887 conf-key conf-file-name pack-key pack-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15888 ent-entry arch-entry conf-entry pack-entry inst-entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15889 pack-body-key pack-body-file-name inst-ent-key inst-conf-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15890 tmp-key tmp-list rule)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15891 ;; check prerequisites
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15892 (unless (file-exists-p compile-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15893 (make-directory compile-directory t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15894 (unless regexp-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15895 (error "Please contact the VHDL Mode maintainer for support of \"%s\""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15896 vhdl-compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15897 (message "Generating makefile \"%s\"..." makefile-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15898 ;; rules for all entities
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15899 (setq tmp-list ent-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15900 (while ent-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15901 (setq ent-entry (car ent-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15902 ent-key (nth 0 ent-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15903 (when (nth 2 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15904 (setq ent-file-name (file-relative-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15905 (nth 2 ent-entry) compile-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15906 arch-alist (nth 4 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15907 lib-alist (nth 5 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15908 rule (aget rule-alist ent-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15909 target-list (nth 0 rule)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15910 depend-list (nth 1 rule)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15911 second-list nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15912 subcomp-list nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15913 (setq tmp-key (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15914 ent-regexp (funcall adjust-case ent-key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15915 (setq unit-list (cons (cons ent-key tmp-key) unit-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15916 ;; rule target for this entity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15917 (setq target-list (cons ent-key target-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15918 ;; rule dependencies for all used packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15919 (setq pack-list (vhdl-get-packages lib-alist work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15920 (setq depend-list (append depend-list pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15921 (setq all-pack-list pack-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15922 ;; add rule
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15923 (aput 'rule-alist ent-file-name (list target-list depend-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15924 ;; rules for all corresponding architectures
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15925 (while arch-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15926 (setq arch-entry (car arch-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15927 arch-key (nth 0 arch-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15928 ent-arch-key (concat ent-key "-" arch-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15929 arch-file-name (file-relative-name (nth 2 arch-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15930 compile-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15931 inst-alist (nth 4 arch-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15932 lib-alist (nth 5 arch-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15933 rule (aget rule-alist arch-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15934 target-list (nth 0 rule)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15935 depend-list (nth 1 rule))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15936 (setq tmp-key (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15937 arch-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15938 (funcall adjust-case (concat arch-key " " ent-key))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15939 (setq unit-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15940 (cons (cons ent-arch-key tmp-key) unit-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15941 (setq second-list (cons ent-arch-key second-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15942 ;; rule target for this architecture
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15943 (setq target-list (cons ent-arch-key target-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15944 ;; rule dependency for corresponding entity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15945 (setq depend-list (cons ent-key depend-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15946 ;; rule dependencies for contained component instantiations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15947 (while inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15948 (setq inst-entry (car inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15949 (when (or (null (nth 8 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15950 (equal (downcase (nth 8 inst-entry)) work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15951 (setq inst-ent-key (or (nth 7 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15952 (nth 5 inst-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15953 (setq depend-list (cons inst-ent-key depend-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15954 subcomp-list (cons inst-ent-key subcomp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15955 (setq inst-alist (cdr inst-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15956 ;; rule dependencies for all used packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15957 (setq pack-list (vhdl-get-packages lib-alist work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15958 (setq depend-list (append depend-list pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15959 (setq all-pack-list (append all-pack-list pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15960 ;; add rule
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15961 (aput 'rule-alist arch-file-name (list target-list depend-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15962 (setq arch-alist (cdr arch-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15963 (setq prim-list (cons (list ent-key second-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15964 (append subcomp-list all-pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15965 prim-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15966 (setq ent-alist (cdr ent-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15967 (setq ent-alist tmp-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15968 ;; rules for all configurations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15969 (setq tmp-list conf-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15970 (while conf-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15971 (setq conf-entry (car conf-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15972 conf-key (nth 0 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15973 conf-file-name (file-relative-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15974 (nth 2 conf-entry) compile-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15975 ent-key (nth 4 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15976 arch-key (nth 5 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15977 inst-alist (nth 6 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15978 lib-alist (nth 7 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15979 rule (aget rule-alist conf-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15980 target-list (nth 0 rule)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15981 depend-list (nth 1 rule)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15982 subcomp-list (list ent-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15983 (setq tmp-key (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15984 conf-regexp (funcall adjust-case conf-key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15985 (setq unit-list (cons (cons conf-key tmp-key) unit-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15986 ;; rule target for this configuration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15987 (setq target-list (cons conf-key target-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15988 ;; rule dependency for corresponding entity and architecture
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15989 (setq depend-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15990 (cons ent-key (cons (concat ent-key "-" arch-key) depend-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15991 ;; rule dependencies for used packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15992 (setq pack-list (vhdl-get-packages lib-alist work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15993 (setq depend-list (append depend-list pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15994 ;; rule dependencies for contained component configurations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15995 (while inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15996 (setq inst-entry (car inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15997 (setq inst-ent-key (nth 2 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15998 ; comp-arch-key (nth 2 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15999 inst-conf-key (nth 4 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16000 (when (equal (downcase (nth 5 inst-entry)) work-library)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16001 (when inst-ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16002 (setq depend-list (cons inst-ent-key depend-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16003 subcomp-list (cons inst-ent-key subcomp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16004 ; (when comp-arch-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16005 ; (setq depend-list (cons (concat comp-ent-key "-" comp-arch-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16006 ; depend-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16007 (when inst-conf-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16008 (setq depend-list (cons inst-conf-key depend-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16009 subcomp-list (cons inst-conf-key subcomp-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16010 (setq inst-alist (cdr inst-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16011 ;; add rule
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16012 (aput 'rule-alist conf-file-name (list target-list depend-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16013 (setq prim-list (cons (list conf-key nil (append subcomp-list pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16014 prim-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16015 (setq conf-alist (cdr conf-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16016 (setq conf-alist tmp-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16017 ;; rules for all packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16018 (setq tmp-list pack-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16019 (while pack-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16020 (setq pack-entry (car pack-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16021 pack-key (nth 0 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16022 pack-body-key nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16023 (when (nth 2 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16024 (setq pack-file-name (file-relative-name (nth 2 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16025 compile-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16026 lib-alist (nth 6 pack-entry) lib-body-alist (nth 10 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16027 rule (aget rule-alist pack-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16028 target-list (nth 0 rule) depend-list (nth 1 rule))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16029 (setq tmp-key (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16030 pack-regexp (funcall adjust-case pack-key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16031 (setq unit-list (cons (cons pack-key tmp-key) unit-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16032 ;; rule target for this package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16033 (setq target-list (cons pack-key target-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16034 ;; rule dependencies for all used packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16035 (setq pack-list (vhdl-get-packages lib-alist work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16036 (setq depend-list (append depend-list pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16037 (setq all-pack-list pack-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16038 ;; add rule
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16039 (aput 'rule-alist pack-file-name (list target-list depend-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16040 ;; rules for this package's body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16041 (when (nth 7 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16042 (setq pack-body-key (concat pack-key "-body")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16043 pack-body-file-name (file-relative-name (nth 7 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16044 compile-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16045 rule (aget rule-alist pack-body-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16046 target-list (nth 0 rule)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16047 depend-list (nth 1 rule))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16048 (setq tmp-key (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16049 pack-body-regexp (funcall adjust-case pack-key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16050 (setq unit-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16051 (cons (cons pack-body-key tmp-key) unit-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16052 ;; rule target for this package's body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16053 (setq target-list (cons pack-body-key target-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16054 ;; rule dependency for corresponding package declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16055 (setq depend-list (cons pack-key depend-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16056 ;; rule dependencies for all used packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16057 (setq pack-list (vhdl-get-packages lib-body-alist work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16058 (setq depend-list (append depend-list pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16059 (setq all-pack-list (append all-pack-list pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16060 ;; add rule
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16061 (aput 'rule-alist pack-body-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16062 (list target-list depend-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16063 (setq prim-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16064 (cons (list pack-key (when pack-body-key (list pack-body-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16065 all-pack-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16066 prim-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16067 (setq pack-alist (cdr pack-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16068 (setq pack-alist tmp-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16069 ;; generate Makefile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16070 (let* ((project (aget vhdl-project-alist project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16071 (compiler (aget vhdl-compiler-alist vhdl-compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16072 (compiler-id (nth 9 compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16073 (library-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16074 (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16075 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16076 (cons "\\(.*\\)" (or (nth 7 project) (nth 7 compiler)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16077 compiler-id)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16078 (makefile-path-name (expand-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16079 makefile-name compile-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16080 (orig-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16081 cell second-list subcomp-list options unit-key unit-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16082 ;; sort lists
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16083 (setq unit-list (vhdl-sort-alist unit-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16084 (setq prim-list (vhdl-sort-alist prim-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16085 (setq tmp-list rule-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16086 (while tmp-list ; pre-sort rule targets
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16087 (setq cell (cdar tmp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16088 (setcar cell (sort (car cell) 'string<))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16089 (setq tmp-list (cdr tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16090 (setq rule-alist ; sort by first rule target
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16091 (sort rule-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16092 (function (lambda (a b)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16093 (string< (car (cadr a)) (car (cadr b)))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16094 ;; open and clear Makefile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16095 (set-buffer (find-file-noselect makefile-path-name t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16096 (erase-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16097 (insert "# -*- Makefile -*-\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16098 "### " (file-name-nondirectory makefile-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16099 " - VHDL Makefile generated by Emacs VHDL Mode " vhdl-version
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16100 "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16101 (if project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16102 (insert "\n# Project : " (nth 0 project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16103 (insert "\n# Directory : \"" directory "\""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16104 (insert "\n# Platform : " vhdl-compiler
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16105 "\n# Generated : " (format-time-string "%Y-%m-%d %T ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16106 (user-login-name) "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16107 ;; insert compile and option variable settings
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16108 (insert "\n\n# Define compilation command and options\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16109 "\nCOMPILE = " (nth 0 compiler)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16110 "\nOPTIONS = " (vhdl-get-compile-options project compiler nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16111 "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16112 ;; insert library paths
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16113 (setq library-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16114 (directory-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16115 (if (file-name-absolute-p library-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16116 library-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16117 (file-relative-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16118 (expand-file-name library-directory directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16119 compile-directory))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16120 (insert "\n\n# Define library paths\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16121 "\nLIBRARY-" work-library " = " library-directory "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16122 ;; insert variable definitions for all library unit files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16123 (insert "\n\n# Define library unit files\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16124 (setq tmp-list unit-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16125 (while unit-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16126 (insert "\nUNIT-" work-library "-" (caar unit-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16127 " = \\\n\t$(LIBRARY-" work-library ")/" (cdar unit-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16128 (setq unit-list (cdr unit-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16129 ;; insert variable definition for list of all library unit files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16130 (insert "\n\n\n# Define list of all library unit files\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16131 "\nALL_UNITS =")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16132 (setq unit-list tmp-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16133 (while unit-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16134 (insert " \\\n\t" "$(UNIT-" work-library "-" (caar unit-list) ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16135 (setq unit-list (cdr unit-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16136 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16137 (setq unit-list tmp-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16138 ;; insert `make all' rule
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16139 (insert "\n\n\n# Rule for compiling entire design\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16140 "\nall :"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16141 " \\\n\t\tlibrary"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16142 " \\\n\t\t$(ALL_UNITS)\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16143 ;; insert `make clean' rule
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16144 (insert "\n\n# Rule for cleaning entire design\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16145 "\nclean : "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16146 "\n\t-rm -f $(ALL_UNITS)\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16147 ;; insert `make library' rule
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16148 (insert "\n\n# Rule for creating library directory\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16149 "\nlibrary :"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16150 " \\\n\t\t$(LIBRARY-" work-library ")\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16151 "\n$(LIBRARY-" work-library ") :"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16152 "\n\t"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16153 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16154 (cons "\\(.*\\)\n\\(.*\\)" (nth 5 compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16155 (concat "$(LIBRARY-" work-library ")\n" (vhdl-work-library)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16156 "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16157 ;; insert rule for each library unit
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16158 (insert "\n\n# Rules for compiling single library units and their subhierarchy\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16159 (while prim-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16160 (setq second-list (sort (nth 1 (car prim-list)) 'string<))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16161 (setq subcomp-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16162 (sort (vhdl-uniquify (nth 2 (car prim-list))) 'string<))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16163 (setq unit-key (caar prim-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16164 unit-name (or (nth 0 (aget ent-alist unit-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16165 (nth 0 (aget conf-alist unit-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16166 (nth 0 (aget pack-alist unit-key t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16167 (insert "\n" unit-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16168 (unless (equal unit-key unit-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16169 (insert " \\\n" unit-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16170 (insert " :"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16171 " \\\n\t\tlibrary"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16172 " \\\n\t\t$(UNIT-" work-library "-" unit-key ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16173 (while second-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16174 (insert " \\\n\t\t$(UNIT-" work-library "-" (car second-list) ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16175 (setq second-list (cdr second-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16176 (while subcomp-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16177 (when (assoc (car subcomp-list) unit-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16178 (insert " \\\n\t\t" (car subcomp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16179 (setq subcomp-list (cdr subcomp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16180 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16181 (setq prim-list (cdr prim-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16182 ;; insert rule for each library unit file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16183 (insert "\n\n# Rules for compiling single library unit files\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16184 (while rule-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16185 (setq rule (car rule-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16186 ;; get compiler options for this file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16187 (setq options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16188 (vhdl-get-compile-options project compiler (nth 0 rule) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16189 ;; insert rule if file is supposed to be compiled
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16190 (setq target-list (nth 1 rule)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16191 depend-list (sort (vhdl-uniquify (nth 2 rule)) 'string<))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16192 ;; insert targets
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16193 (setq tmp-list target-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16194 (while target-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16195 (insert "\n$(UNIT-" work-library "-" (car target-list) ")"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16196 (if (cdr target-list) " \\" " :"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16197 (setq target-list (cdr target-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16198 (setq target-list tmp-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16199 ;; insert file name as first dependency
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16200 (insert " \\\n\t\t" (nth 0 rule))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16201 ;; insert dependencies (except if also target or unit does not exist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16202 (while depend-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16203 (when (and (not (member (car depend-list) target-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16204 (assoc (car depend-list) unit-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16205 (insert " \\\n\t\t"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16206 "$(UNIT-" work-library "-" (car depend-list) ")"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16207 (setq depend-list (cdr depend-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16208 ;; insert compile command
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16209 (if options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16210 (insert "\n\t$(COMPILE) "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16211 (if (eq options 'default) "$(OPTIONS)" options) " "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16212 (nth 0 rule) "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16213 (setq tmp-list target-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16214 (while target-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16215 (insert "\n\t@touch $(UNIT-" work-library "-" (car target-list) ")"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16216 (if (cdr target-list) " \\" "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16217 (setq target-list (cdr target-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16218 (setq target-list tmp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16219 (setq rule-alist (cdr rule-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16220 (insert "\n\n### " makefile-name " ends here\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16221 ;; run Makefile generation hook
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16222 (run-hooks 'vhdl-makefile-generation-hook)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16223 (message "Generating makefile \"%s\"...done" makefile-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16224 ;; save and close file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16225 (if (file-writable-p makefile-path-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16226 (progn (save-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16227 (kill-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16228 (set-buffer orig-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16229 (setq file-name-history
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16230 (cons makefile-path-name file-name-history)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16231 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16232 (format "File not writable: \"%s\""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16233 (abbreviate-file-name makefile-path-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16234 (switch-to-buffer (current-buffer))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16235
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
16236
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
16237 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
16238 ;;; Bug reports
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
16239 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16240 ;; (using `reporter.el')
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16241
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16242 (defconst vhdl-mode-help-address
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16243 "Reto Zimmermann <reto@gnu.org>"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16244 "Address for VHDL Mode bug reports.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16245
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16246 (defun vhdl-submit-bug-report ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16247 "Submit via mail a bug report on VHDL Mode."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16248 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16249 ;; load in reporter
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16250 (and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16251 (y-or-n-p "Do you want to submit a report on VHDL Mode? ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16252 (let ((reporter-prompt-for-summary-p t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16253 (reporter-submit-bug-report
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16254 vhdl-mode-help-address
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16255 (concat "VHDL Mode " vhdl-version)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16256 (list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16257 ;; report all important user options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16258 'vhdl-offsets-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16259 'vhdl-comment-only-line-offset
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16260 'tab-width
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16261 'vhdl-electric-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16262 'vhdl-stutter-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16263 'vhdl-indent-tabs-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16264 'vhdl-project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16265 'vhdl-project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16266 'vhdl-project-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16267 'vhdl-project-auto-load
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16268 'vhdl-project-sort
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16269 'vhdl-compiler-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16270 'vhdl-compiler
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16271 'vhdl-compile-use-local-error-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16272 'vhdl-makefile-generation-hook
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16273 'vhdl-default-library
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16274 'vhdl-standard
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16275 'vhdl-basic-offset
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16276 'vhdl-upper-case-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16277 'vhdl-upper-case-types
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16278 'vhdl-upper-case-attributes
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16279 'vhdl-upper-case-enum-values
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16280 'vhdl-upper-case-constants
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16281 'vhdl-use-direct-instantiation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16282 'vhdl-entity-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16283 'vhdl-architecture-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16284 'vhdl-package-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16285 'vhdl-file-name-case
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16286 'vhdl-electric-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16287 'vhdl-optional-labels
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16288 'vhdl-insert-empty-lines
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16289 'vhdl-argument-list-indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16290 'vhdl-association-list-with-formals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16291 'vhdl-conditions-in-parenthesis
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16292 'vhdl-zero-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16293 'vhdl-one-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16294 'vhdl-file-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16295 'vhdl-file-footer
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16296 'vhdl-company-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16297 'vhdl-copyright-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16298 'vhdl-platform-spec
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16299 'vhdl-date-format
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16300 'vhdl-modify-date-prefix-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16301 'vhdl-modify-date-on-saving
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16302 'vhdl-reset-kind
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16303 'vhdl-reset-active-high
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16304 'vhdl-clock-rising-edge
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16305 'vhdl-clock-edge-condition
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16306 'vhdl-clock-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16307 'vhdl-reset-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16308 'vhdl-model-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16309 'vhdl-include-port-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16310 'vhdl-include-direction-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16311 'vhdl-include-type-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16312 'vhdl-include-group-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16313 'vhdl-actual-port-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16314 'vhdl-instance-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16315 'vhdl-testbench-entity-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16316 'vhdl-testbench-architecture-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16317 'vhdl-testbench-configuration-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16318 'vhdl-testbench-dut-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16319 'vhdl-testbench-include-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16320 'vhdl-testbench-declarations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16321 'vhdl-testbench-statements
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16322 'vhdl-testbench-initialize-signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16323 'vhdl-testbench-include-library
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16324 'vhdl-testbench-include-configuration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16325 'vhdl-testbench-create-files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16326 'vhdl-compose-create-files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16327 'vhdl-compose-include-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16328 'vhdl-compose-architecture-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16329 'vhdl-components-package-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16330 'vhdl-use-components-package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16331 'vhdl-self-insert-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16332 'vhdl-prompt-for-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16333 'vhdl-inline-comment-column
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16334 'vhdl-end-comment-column
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16335 'vhdl-auto-align
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16336 'vhdl-align-groups
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16337 'vhdl-align-group-separate
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16338 'vhdl-align-same-indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16339 'vhdl-highlight-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16340 'vhdl-highlight-names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16341 'vhdl-highlight-special-words
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16342 'vhdl-highlight-forbidden-words
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16343 'vhdl-highlight-verilog-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16344 'vhdl-highlight-translate-off
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16345 'vhdl-highlight-case-sensitive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16346 'vhdl-special-syntax-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16347 'vhdl-forbidden-words
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16348 'vhdl-forbidden-syntax
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16349 'vhdl-directive-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16350 'vhdl-speedbar-auto-open
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16351 'vhdl-speedbar-display-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16352 'vhdl-speedbar-scan-limit
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16353 'vhdl-speedbar-jump-to-unit
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16354 'vhdl-speedbar-update-on-saving
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16355 'vhdl-speedbar-save-cache
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16356 'vhdl-speedbar-cache-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16357 'vhdl-index-menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16358 'vhdl-source-file-menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16359 'vhdl-hideshow-menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16360 'vhdl-hide-all-init
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16361 'vhdl-print-two-column
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16362 'vhdl-print-customize-faces
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16363 'vhdl-intelligent-tab
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16364 'vhdl-indent-syntax-based
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16365 'vhdl-word-completion-case-sensitive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16366 'vhdl-word-completion-in-minibuffer
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16367 'vhdl-underscore-is-part-of-word
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16368 'vhdl-mode-hook)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16369 (function
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16370 (lambda ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16371 (insert
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16372 (if vhdl-special-indent-hook
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16373 (concat "\n@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16374 "vhdl-special-indent-hook is set to '"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16375 (format "%s" vhdl-special-indent-hook)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16376 ".\nPerhaps this is your problem?\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16377 "@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16378 "\n"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16379 nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16380 "Hi Reto,"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16381
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16382
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16383 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16384 ;;; Documentation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16385 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16386
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16387 (defconst vhdl-doc-release-notes nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16388 "\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16389 Release Notes for VHDL Mode 3.32
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16390 ================================
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16391
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16392 - New Features
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16393 - Enhanced Features
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16394 - User Options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16395 - Remarks
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16396
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16397
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16398 New Features
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16399 ------------
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16400
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16401 STRUCTURAL COMPOSITION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16402 - Enables simple structural composition similar to graphical editors.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16403 Simplifies the creation of higher design levels where subcomponents
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16404 are simply sticked together:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16405 1. Create a skeleton for a new component
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16406 2. Place subcomponents in it directly from the hierarchy browser
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16407 3. Automatically connect all subcomponents and create the ports
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16408 for the new component (based on names of actual ports)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16409 - Automatic generation of a components package (package containing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16410 component declarations for all entities).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16411 - Find more information in the online documentation (`C-c C-h').
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16412
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16413 PORT TRANSLATION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16414 - Reverse direction of ports (useful for testbenches).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16415
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16416 SUBPROGRAM TRANSLATION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16417 - Copy/paste of subprogram interfaces (similar to port translation).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16418
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16419 CODE FILLING:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16420 - Condense code using code-sensitive block filling.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16421
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16422 CODE STATISTICS:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16423 - Calculate number of code lines and statements in a buffer.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16424
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16425
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16426 Enhanced Features
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16427 -----------------
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16428
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16429 TESTBENCH GENERATION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16430 - Enhanced templates and user option default values.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16431
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16432 Emacs 21 compatibility/enhancements:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16433 - `lazy-lock-mode' is not used anymore (built-in `jit-lock-mode' is faster).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16434
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16435 And many other minor fixes and enhancements.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16436
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16437
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16438 User Options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16439 ------------
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16440
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16441 `vhdl-project-file-name': (enhanced)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16442 Include user name in project setup file name.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16443 `vhdl-speedbar-cache-file-name': (enhanced, changed default)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16444 Include user name in cache file name.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16445 `vhdl-default-library': (new)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16446 Default working library if no project is active.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16447 `vhdl-architecture-file-name': (new)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16448 Specify how the architecture file name is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16449 `vhdl-package-file-name': (new)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16450 Specify how the package file name is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16451 `vhdl-file-name-case': (new)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16452 Allows to change case when deriving file names.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16453 `vhdl-compose-create-files': (new)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16454 Specify whether new files should be created for a new component.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16455 `vhdl-compose-include-header': (new)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16456 Specify whether a header is included in a new component's file.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16457 `vhdl-compose-architecture-name': (new)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16458 Specify how a new component's architecture name is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16459 `vhdl-components-package-name': (new)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16460 Specify how the name for the components package is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16461 `vhdl-use-components-package': (new)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16462 Specify whether component declarations go in a components package.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16463 `vhdl-use-direct-instantiation': (new)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16464 Specify whether to use VHDL'93 direct component instantiation.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16465 `vhdl-instance-name': (changed default)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16466 Allows insertion of a running number to generate unique instance names.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16467 `vhdl-testbench-entity-header', `vhdl-testbench-architecture-header':(obsolete)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16468 Headers are now automatically derived from the standard header.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16469 `vhdl-testbench-include-header': (new)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16470 Specify whether a header is included in testbench files.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16471 `vhdl-testbench-declaration', `vhdl-testbench-statements': (changed default)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16472 Non-empty default values for more complete testbench templates.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16473
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16474
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16475 Remarks
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16476 -------
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16477
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16478 - Changed key binding for `vhdl-comment-uncomment-region': `C-c c'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16479 (`C-c C-c ...' is now used for structural composition).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16480
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16481 - Automatic buffer highlighting (font-lock) is now controlled by option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16482 `global-font-lock-mode' in GNU Emacs (`font-lock-auto-fontify' in XEmacs).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16483 \(Important: You MUST customize this option in order to turn automatic
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16484 buffer highlighting on.)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16485 ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16486
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16487
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16488 (defconst vhdl-doc-keywords nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16489 "\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16490 Reserved words in VHDL
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16491 ----------------------
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16492
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16493 VHDL'93 (IEEE Std 1076-1993):
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16494 `vhdl-93-keywords' : keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16495 `vhdl-93-types' : standardized types
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16496 `vhdl-93-attributes' : standardized attributes
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16497 `vhdl-93-enum-values' : standardized enumeration values
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16498 `vhdl-93-functions' : standardized functions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16499 `vhdl-93-packages' : standardized packages and libraries
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16500
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16501 VHDL-AMS (IEEE Std 1076.1):
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16502 `vhdl-ams-keywords' : keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16503 `vhdl-ams-types' : standardized types
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16504 `vhdl-ams-attributes' : standardized attributes
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16505 `vhdl-ams-enum-values' : standardized enumeration values
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16506 `vhdl-ams-functions' : standardized functions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16507
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16508 Math Packages (IEEE Std 1076.2):
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16509 `vhdl-math-types' : standardized types
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16510 `vhdl-math-constants' : standardized constants
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16511 `vhdl-math-functions' : standardized functions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16512 `vhdl-math-packages' : standardized packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16513
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16514 Forbidden words:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16515 `vhdl-verilog-keywords' : Verilog reserved words
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16516
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16517 NOTE: click `mouse-2' on variable names above (not in XEmacs).")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16518
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16519
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16520 (defconst vhdl-doc-coding-style nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16521 "\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16522 For VHDL coding style and naming convention guidelines, see the following
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16523 references:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16524
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16525 \[1] Ben Cohen.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16526 \"VHDL Coding Styles and Methodologies\".
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16527 Kluwer Academic Publishers, 1999.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16528 http://members.aol.com/vhdlcohen/vhdl/
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16529
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16530 \[2] Michael Keating and Pierre Bricaud.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16531 \"Reuse Methodology Manual, Second Edition\".
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16532 Kluwer Academic Publishers, 1999.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16533 http://www.openmore.com/openmore/rmm2.html
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16534
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16535 \[3] European Space Agency.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16536 \"VHDL Modelling Guidelines\".
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16537 ftp://ftp.estec.esa.nl/pub/vhdl/doc/ModelGuide.{pdf,ps}
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16538
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16539 Use user options `vhdl-highlight-special-words' and `vhdl-special-syntax-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16540 to visually support naming conventions.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16541
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16542
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16543 (defun vhdl-version ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16544 "Echo the current version of VHDL Mode in the minibuffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16545 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16546 (message "VHDL Mode %s (%s)" vhdl-version vhdl-time-stamp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16547 (vhdl-keep-region-active))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16548
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16549 (defun vhdl-doc-variable (variable)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16550 "Display VARIABLE's documentation in *Help* buffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16551 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16552 (with-output-to-temp-buffer "*Help*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16553 (princ (documentation-property variable 'variable-documentation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16554 (unless vhdl-xemacs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16555 (help-setup-xref (list #'vhdl-doc-variable variable) (interactive-p)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16556 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16557 (set-buffer standard-output)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16558 (help-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16559 (print-help-return-message)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16560
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16561 (defun vhdl-doc-mode ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16562 "Display VHDL Mode documentation in *Help* buffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16563 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16564 (with-output-to-temp-buffer "*Help*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16565 (princ mode-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16566 (princ " mode:\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16567 (princ (documentation 'vhdl-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16568 (unless vhdl-xemacs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16569 (help-setup-xref (list #'vhdl-doc-mode) (interactive-p)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16570 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16571 (set-buffer standard-output)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16572 (help-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16573 (print-help-return-message)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
16574
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
16575
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
16576 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16577
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16578 (provide 'vhdl-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16579
52401
695cf19ef79e Add arch taglines
Miles Bader <miles@gnu.org>
parents: 50023
diff changeset
16580 ;;; arch-tag: 780d7073-9b5d-4c6c-b0d8-26b28783aba3
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16581 ;;; vhdl-mode.el ends here