annotate lisp/progmodes/vhdl-mode.el @ 83353:532e0a9335a9

Merged in changes from CVS trunk. Plus added lisp/term tweaks. Patches applied: * lorentey@elte.hu--2004/emacs--cvs-trunk--0--base-0 tag of miles@gnu.org--gnu-2005/emacs--cvs-trunk--0--patch-474 * lorentey@elte.hu--2004/emacs--cvs-trunk--0--patch-1 Add CVS metadata files. * lorentey@elte.hu--2004/emacs--cvs-trunk--0--patch-2 Update from CVS. git-archimport-id: lorentey@elte.hu--2004/emacs--multi-tty--0--patch-393
author Karoly Lorentey <lorentey@elte.hu>
date Sun, 04 Sep 2005 03:48:17 +0000
parents 6c13700d1c13 6c488c4c11c6
children d84f940244dc
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1 ;;; vhdl-mode.el --- major mode for editing VHDL code
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2
64699
629afbe74e61 Update copyright for release of 22.1 for progmodes directory.
Nick Roberts <nickrob@snap.net.nz>
parents: 64085
diff changeset
3 ;; Copyright (C) 1992-2003, 2004, 2005 Free Software Foundation, Inc.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5 ;; Authors: Reto Zimmermann <reto@gnu.org>
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6 ;; Rodney J. Whitby <software.vhdl-mode@rwhitby.net>
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7 ;; Maintainer: Reto Zimmermann <reto@gnu.org>
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8 ;; Keywords: languages vhdl
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
9 ;; WWW: http://opensource.ethz.ch/emacs/vhdl-mode.html
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11 (defconst vhdl-version "3.33.6"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12 "VHDL Mode version number.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14 (defconst vhdl-time-stamp "2005-08-30"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15 "VHDL Mode time stamp for last update.")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
17 ;; This file is part of GNU Emacs.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
18
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
19 ;; GNU Emacs is free software; you can redistribute it and/or modify
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
20 ;; it under the terms of the GNU General Public License as published by
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
21 ;; the Free Software Foundation; either version 2, or (at your option)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
22 ;; any later version.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
23
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
24 ;; GNU Emacs is distributed in the hope that it will be useful,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
25 ;; but WITHOUT ANY WARRANTY; without even the implied warranty of
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
26 ;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
27 ;; GNU General Public License for more details.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
28
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
29 ;; You should have received a copy of the GNU General Public License
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
30 ;; along with GNU Emacs; see the file COPYING. If not, write to the
64085
18a818a2ee7c Update FSF's address.
Lute Kamstra <lute@gnu.org>
parents: 64064
diff changeset
31 ;; Free Software Foundation, Inc., 51 Franklin Street, Fifth Floor,
18a818a2ee7c Update FSF's address.
Lute Kamstra <lute@gnu.org>
parents: 64064
diff changeset
32 ;; Boston, MA 02110-1301, USA.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
33
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
34 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
35 ;;; Commentary:
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
36 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
37
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
38 ;; This package provides an Emacs major mode for editing VHDL code.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
39 ;; It includes the following features:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
40
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
41 ;; - Syntax highlighting
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
42 ;; - Indentation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
43 ;; - Template insertion (electrification)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
44 ;; - Insertion of file headers
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
45 ;; - Insertion of user-specified models
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
46 ;; - Port translation / testbench generation
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
47 ;; - Structural composition
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
48 ;; - Configuration generation
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
49 ;; - Sensitivity list updating
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
50 ;; - File browser
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
51 ;; - Design hierarchy browser
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
52 ;; - Source file compilation (syntax analysis)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
53 ;; - Makefile generation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
54 ;; - Code hiding
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
55 ;; - Word/keyword completion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
56 ;; - Block commenting
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
57 ;; - Code fixing/alignment/beautification
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
58 ;; - Postscript printing
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
59 ;; - VHDL'87/'93 and VHDL-AMS supported
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
60 ;; - Comprehensive menu
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
61 ;; - Fully customizable
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
62 ;; - Works under GNU Emacs (recommended) and XEmacs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
63
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
64 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
65 ;; Documentation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
66
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
67 ;; See comment string of function `vhdl-mode' or type `C-c C-h' in Emacs.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
68
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
69 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
70 ;; Emacs Versions
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
71
64986
e6581ac8240c (vhdl-emacs-21): Set t for Emacs 21, 22, and so on.
Thien-Thi Nguyen <ttn@gnuvola.org>
parents: 64849
diff changeset
72 ;; supported: GNU Emacs 20.X/21.X/22.X, XEmacs 20.X/21.X
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
73 ;; tested on: GNU Emacs 20.4, XEmacs 21.1 (marginally)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
74
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
75 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
76 ;; Installation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
77
64986
e6581ac8240c (vhdl-emacs-21): Set t for Emacs 21, 22, and so on.
Thien-Thi Nguyen <ttn@gnuvola.org>
parents: 64849
diff changeset
78 ;; Prerequisites: GNU Emacs 20.X/21.X/22.X, XEmacs 20.X/21.X.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
79
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
80 ;; Put `vhdl-mode.el' into the `site-lisp' directory of your Emacs installation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
81 ;; or into an arbitrary directory that is added to the load path by the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
82 ;; following line in your Emacs start-up file `.emacs':
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
83
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
84 ;; (setq load-path (cons (expand-file-name "<directory-name>") load-path))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
85
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
86 ;; If you already have the compiled `vhdl-mode.elc' file, put it in the same
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
87 ;; directory. Otherwise, byte-compile the source file:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
88 ;; Emacs: M-x byte-compile-file RET vhdl-mode.el RET
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
89 ;; Unix: emacs -batch -q -no-site-file -f batch-byte-compile vhdl-mode.el
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
90
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
91 ;; Add the following lines to the `site-start.el' file in the `site-lisp'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
92 ;; directory of your Emacs installation or to your Emacs start-up file `.emacs'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
93 ;; (not required in Emacs 20.X):
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
94
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
95 ;; (autoload 'vhdl-mode "vhdl-mode" "VHDL Mode" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
96 ;; (setq auto-mode-alist (cons '("\\.vhdl?\\'" . vhdl-mode) auto-mode-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
97
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
98 ;; More detailed installation instructions are included in the official
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
99 ;; VHDL Mode distribution.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
100
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
101 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
102 ;; Acknowledgements
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
103
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
104 ;; Electrification ideas by Bob Pack <rlpst@cislabs.pitt.edu>
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
105 ;; and Steve Grout.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
106
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
107 ;; Fontification approach suggested by Ken Wood <ken@eda.com.au>.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
108 ;; Ideas about alignment from John Wiegley <johnw@gnu.org>.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
109
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
110 ;; Many thanks to all the users who sent me bug reports and enhancement
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
111 ;; requests.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
112 ;; Thanks to Colin Marquardt for his serious beta testing, his innumerable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
113 ;; enhancement suggestions and the fruitful discussions.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
114 ;; Thanks to Dan Nicolaescu for reviewing the code and for his valuable hints.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
115 ;; Thanks to Ulf Klaperski for the indentation speedup hint.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
116
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
117 ;; Special thanks go to Wolfgang Fichtner and the crew from the Integrated
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
118 ;; Systems Laboratory, Swiss Federal Institute of Technology Zurich, for
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
119 ;; giving me the opportunity to develop this code.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
120 ;; This work has been funded in part by MICROSWISS, a Microelectronics Program
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
121 ;; of the Swiss Government.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
122
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
123 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
124
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
125 ;;; Code:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
126
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
127 ;; XEmacs handling
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
128 (defconst vhdl-xemacs (string-match "XEmacs" emacs-version)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
129 "Non-nil if XEmacs is used.")
64986
e6581ac8240c (vhdl-emacs-21): Set t for Emacs 21, 22, and so on.
Thien-Thi Nguyen <ttn@gnuvola.org>
parents: 64849
diff changeset
130 ;; Emacs 21+ handling
e6581ac8240c (vhdl-emacs-21): Set t for Emacs 21, 22, and so on.
Thien-Thi Nguyen <ttn@gnuvola.org>
parents: 64849
diff changeset
131 (defconst vhdl-emacs-21 (and (<= 21 emacs-major-version) (not vhdl-xemacs))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
132 "Non-nil if GNU Emacs 21, 22, ... is used.")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
133 (defconst vhdl-emacs-22 (and (<= 22 emacs-major-version) (not vhdl-xemacs))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
134 "Non-nil if GNU Emacs 22, ... is used.")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
135
65241
9c07af802d9a (compilation-file-regexp-alist, itimer-version, lazy-lock-defer-contextually,
Juanma Barranquero <lekktu@gmail.com>
parents: 64986
diff changeset
136 (defvar compilation-file-regexp-alist)
9c07af802d9a (compilation-file-regexp-alist, itimer-version, lazy-lock-defer-contextually,
Juanma Barranquero <lekktu@gmail.com>
parents: 64986
diff changeset
137 (defvar itimer-version)
9c07af802d9a (compilation-file-regexp-alist, itimer-version, lazy-lock-defer-contextually,
Juanma Barranquero <lekktu@gmail.com>
parents: 64986
diff changeset
138 (defvar lazy-lock-defer-contextually)
9c07af802d9a (compilation-file-regexp-alist, itimer-version, lazy-lock-defer-contextually,
Juanma Barranquero <lekktu@gmail.com>
parents: 64986
diff changeset
139 (defvar lazy-lock-defer-on-scrolling)
9c07af802d9a (compilation-file-regexp-alist, itimer-version, lazy-lock-defer-contextually,
Juanma Barranquero <lekktu@gmail.com>
parents: 64986
diff changeset
140 (defvar lazy-lock-defer-on-the-fly)
9c07af802d9a (compilation-file-regexp-alist, itimer-version, lazy-lock-defer-contextually,
Juanma Barranquero <lekktu@gmail.com>
parents: 64986
diff changeset
141
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
142
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
143 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
144 ;;; Variables
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
145 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
146
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
147 ;; help function for user options
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
148 (defun vhdl-custom-set (variable value &rest functions)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
149 "Set variables as in `custom-set-default' and call FUNCTIONS afterwards."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
150 (if (fboundp 'custom-set-default)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
151 (custom-set-default variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
152 (set-default variable value))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
153 (while functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
154 (when (fboundp (car functions)) (funcall (car functions)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
155 (setq functions (cdr functions))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
156
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
157 (defun vhdl-widget-directory-validate (widget)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
158 "Check that the value of WIDGET is a valid directory entry (i.e. ends with
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
159 '/' or is empty)."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
160 (let ((val (widget-value widget)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
161 (unless (string-match "^\\(\\|.*/\\)$" val)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
162 (widget-put widget :error "Invalid directory entry: must end with '/'")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
163 widget)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
164
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
165 ;; help string for user options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
166 (defconst vhdl-name-doc-string "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
167
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
168 FROM REGEXP is a regular expression matching the original name:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
169 \".*\" matches the entire string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
170 \"\\(...\\)\" matches a substring
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
171 TO STRING specifies the string to be inserted as new name:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
172 \"\\&\" means substitute entire matched text
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
173 \"\\N\" means substitute what matched the Nth \"\\(...\\)\"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
174 Examples:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
175 \".*\" \"\\&\" inserts original string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
176 \".*\" \"\\&_i\" attaches \"_i\" to original string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
177 \"\\(.*\\)_[io]$\" \"\\1\" strips off \"_i\" or \"_o\" from original string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
178 \".*\" \"foo\" inserts constant string \"foo\"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
179 \".*\" \"\" inserts empty string")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
180
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
181 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
182 ;; User variables
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
183
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
184 (defgroup vhdl nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
185 "Customizations for VHDL Mode."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
186 :prefix "vhdl-"
21651
86fcccceba7b *** empty log message ***
Dan Nicolaescu <done@ece.arizona.edu>
parents: 21466
diff changeset
187 :group 'languages
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
188 ; :version "21.2" ; comment out for XEmacs
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
189 )
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
190
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
191 (defgroup vhdl-mode nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
192 "Customizations for modes."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
193 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
194
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
195 (defcustom vhdl-electric-mode t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
196 "*Non-nil enables electrification (automatic template generation).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
197 If nil, template generators can still be invoked through key bindings and
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
198 menu. Is indicated in the modeline by \"/e\" after the mode name and can be
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
199 toggled by `\\[vhdl-electric-mode]'."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
200 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
201 :group 'vhdl-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
202
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
203 (defcustom vhdl-stutter-mode t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
204 "*Non-nil enables stuttering.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
205 Is indicated in the modeline by \"/s\" after the mode name and can be toggled
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
206 by `\\[vhdl-stutter-mode]'."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
207 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
208 :group 'vhdl-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
209
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
210 (defcustom vhdl-indent-tabs-mode nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
211 "*Non-nil means indentation can insert tabs.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
212 Overrides local variable `indent-tabs-mode'."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
213 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
214 :group 'vhdl-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
215
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
216
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
217 (defgroup vhdl-compile nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
218 "Customizations for compilation."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
219 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
220
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
221 (defcustom vhdl-compiler-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
222 '(
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
223 ;; Cadence Leapfrog: cv -file test.vhd
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
224 ;; duluth: *E,430 (test.vhd,13): identifier (POSITIV) is not declared
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
225 ("Cadence Leapfrog" "cv" "-work \\1 -file" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
226 nil "mkdir \\1" "./" "work/" "Makefile" "leapfrog"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
227 ("duluth: \\*E,[0-9]+ (\\(.+\\),\\([0-9]+\\)):" 1 2 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
228 ("\\1/entity" "\\2/\\1" "\\1/configuration"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
229 "\\1/package" "\\1/body" downcase))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
230 ;; Cadence Affirma NC vhdl: ncvhdl test.vhd
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
231 ;; ncvhdl_p: *E,IDENTU (test.vhd,13|25): identifier
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
232 ;; (PLL_400X_TOP) is not declared [10.3].
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
233 ("Cadence NC" "ncvhdl" "-work \\1" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
234 nil "mkdir \\1" "./" "work/" "Makefile" "ncvhdl"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
235 ("ncvhdl_p: \\*E,\\w+ (\\(.+\\),\\([0-9]+\\)|\\([0-9]+\\)):" 1 2 3) ("" 0)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
236 ("\\1/entity/pc.db" "\\2/\\1/pc.db" "\\1/configuration/pc.db"
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
237 "\\1/package/pc.db" "\\1/body/pc.db" downcase))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
238 ;; Ikos Voyager: analyze test.vhd
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
239 ;; analyze test.vhd
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
240 ;; E L4/C5: this library unit is inaccessible
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
241 ("Ikos" "analyze" "-l \\1" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
242 nil "mkdir \\1" "./" "work/" "Makefile" "ikos"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
243 ("E L\\([0-9]+\\)/C\\([0-9]+\\):" 0 1 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
244 ("^analyze +\\(.+ +\\)*\\(.+\\)$" 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
245 nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
246 ;; ModelSim, Model Technology: vcom test.vhd
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
247 ;; ERROR: test.vhd(14): Unknown identifier: positiv
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
248 ;; WARNING[2]: test.vhd(85): Possible infinite loop
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
249 ;; ** Error: adder.vhd(190): Unknown identifier: ctl_numb
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
250 ("ModelSim" "vcom" "-93 -work \\1" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
251 nil "vlib \\1; vmap \\2 \\1" "./" "work/" "Makefile" "modelsim"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
252 ("\\(ERROR\\|WARNING\\|\\*\\* Error\\|\\*\\* Warning\\)[^:]*: \\(.+\\)(\\([0-9]+\\)):" 2 3 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
253 ("\\1/_primary.dat" "\\2/\\1.dat" "\\1/_primary.dat"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
254 "\\1/_primary.dat" "\\1/body.dat" downcase))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
255 ;; ProVHDL, Synopsys LEDA: provhdl -w work -f test.vhd
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
256 ;; test.vhd:34: error message
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
257 ("LEDA ProVHDL" "provhdl" "-w \\1 -f" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
258 nil "mkdir \\1" "./" "work/" "Makefile" "provhdl"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
259 ("\\([^ \t\n]+\\):\\([0-9]+\\): " 1 2 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
260 ("ENTI/\\1.vif" "ARCH/\\1-\\2.vif" "CONF/\\1.vif"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
261 "PACK/\\1.vif" "BODY/BODY-\\1.vif" upcase))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
262 ;; QuickHDL, Mentor Graphics: qvhcom test.vhd
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
263 ;; ERROR: test.vhd(24): near "dnd": expecting: END
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
264 ;; WARNING[4]: test.vhd(30): A space is required between ...
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
265 ("QuickHDL" "qvhcom" "-work \\1" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
266 nil "mkdir \\1" "./" "work/" "Makefile" "quickhdl"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
267 ("\\(ERROR\\|WARNING\\)[^:]*: \\(.+\\)(\\([0-9]+\\)):" 2 3 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
268 ("\\1/_primary.dat" "\\2/\\1.dat" "\\1/_primary.dat"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
269 "\\1/_primary.dat" "\\1/body.dat" downcase))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
270 ;; Savant: scram -publish-cc test.vhd
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
271 ;; test.vhd:87: _set_passed_through_out_port(IIR_Boolean) not defined for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
272 ("Savant" "scram" "-publish-cc -design-library-name \\1" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
273 nil "mkdir \\1" "./" "work._savant_lib/" "Makefile" "savant"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
274 ("\\([^ \t\n]+\\):\\([0-9]+\\): " 1 2 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
275 ("\\1_entity.vhdl" "\\2_secondary_units._savant_lib/\\2_\\1.vhdl"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
276 "\\1_config.vhdl" "\\1_package.vhdl"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
277 "\\1_secondary_units._savant_lib/\\1_package_body.vhdl" downcase))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
278 ;; Simili: vhdlp -work test.vhd
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
279 ;; Error: CSVHDL0002: test.vhd: (line 97): Invalid prefix
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
280 ("Simili" "vhdlp" "-work \\1" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
281 nil "mkdir \\1" "./" "work/" "Makefile" "simili"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
282 ("\\(Error\\|Warning\\): \\w+: \\(.+\\): (line \\([0-9]+\\)): " 2 3 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
283 ("\\1/prim.var" "\\2/_\\1.var" "\\1/prim.var"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
284 "\\1/prim.var" "\\1/_body.var" downcase))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
285 ;; Speedwave (Innoveda): analyze -libfile vsslib.ini -src test.vhd
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
286 ;; ERROR[11]::File test.vhd Line 100: Use of undeclared identifier
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
287 ("Speedwave" "analyze" "-libfile vsslib.ini -src" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
288 nil "mkdir \\1" "./" "work/" "Makefile" "speedwave"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
289 ("^ *ERROR\[[0-9]+\]::File \\(.+\\) Line \\([0-9]+\\):" 1 2 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
290 nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
291 ;; Synopsys, VHDL Analyzer (sim): vhdlan -nc test.vhd
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
292 ;; **Error: vhdlan,703 test.vhd(22): OTHERS is not legal in this context.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
293 ("Synopsys" "vhdlan" "-nc -work \\1" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
294 nil "mkdir \\1" "./" "work/" "Makefile" "synopsys"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
295 ("\\*\\*Error: vhdlan,[0-9]+ \\(.+\\)(\\([0-9]+\\)):" 1 2 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
296 ("\\1.sim" "\\2__\\1.sim" "\\1.sim" "\\1.sim" "\\1__.sim" upcase))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
297 ;; Synopsys, VHDL Analyzer (syn): vhdlan -nc -spc test.vhd
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
298 ;; **Error: vhdlan,703 test.vhd(22): OTHERS is not legal in this context.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
299 ("Synopsys Design Compiler" "vhdlan" "-nc -spc -work \\1" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
300 nil "mkdir \\1" "./" "work/" "Makefile" "synopsys_dc"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
301 ("\\*\\*Error: vhdlan,[0-9]+ \\(.+\\)(\\([0-9]+\\)):" 1 2 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
302 ("\\1.syn" "\\2__\\1.syn" "\\1.syn" "\\1.syn" "\\1__.syn" upcase))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
303 ;; Synplify:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
304 ;; @W:"test.vhd":57:8:57:9|Optimizing register bit count_x(5) to a constant 0
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
305 ("Synplify" "n/a" "n/a" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
306 nil "mkdir \\1" "./" "work/" "Makefile" "synplify"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
307 ("@[EWN]:\"\\(.+\\)\":\\([0-9]+\\):\\([0-9]+\\):" 1 2 3) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
308 nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
309 ;; Vantage: analyze -libfile vsslib.ini -src test.vhd
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
310 ;; Compiling "test.vhd" line 1...
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
311 ;; **Error: LINE 49 *** No aggregate value is valid in this context.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
312 ("Vantage" "analyze" "-libfile vsslib.ini -src" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
313 nil "mkdir \\1" "./" "work/" "Makefile" "vantage"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
314 ("\\*\\*Error: LINE \\([0-9]+\\) \\*\\*\\*" 0 1 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
315 ("^ *Compiling \"\\(.+\\)\" " 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
316 nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
317 ;; VeriBest: vc vhdl test.vhd
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
318 ;; (no file name printed out!)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
319 ;; 32: Z <= A and BitA ;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
320 ;; ^^^^
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
321 ;; [Error] Name BITA is unknown
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
322 ("VeriBest" "vc" "vhdl" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
323 nil "mkdir \\1" "./" "work/" "Makefile" "veribest"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
324 ("^ +\\([0-9]+\\): +[^ ]" 0 1 0) ("" 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
325 nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
326 ;; Viewlogic: analyze -libfile vsslib.ini -src test.vhd
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
327 ;; Compiling "test.vhd" line 1...
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
328 ;; **Error: LINE 49 *** No aggregate value is valid in this context.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
329 ("Viewlogic" "analyze" "-libfile vsslib.ini -src" "make" "-f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
330 nil "mkdir \\1" "./" "work/" "Makefile" "viewlogic"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
331 ("\\*\\*Error: LINE \\([0-9]+\\) \\*\\*\\*" 0 1 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
332 ("^ *Compiling \"\\(.+\\)\" " 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
333 nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
334 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
335 "*List of available VHDL compilers and their properties.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
336 Each list entry specifies the following items for a compiler:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
337 Compiler:
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
338 Compiler name : name used in option `vhdl-compiler' to choose compiler
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
339 Compile command : command used for source file compilation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
340 Compile options : compile options (\"\\1\" inserts library name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
341 Make command : command used for compilation using a Makefile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
342 Make options : make options (\"\\1\" inserts Makefile name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
343 Generate Makefile: use built-in function or command to generate a Makefile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
344 \(\"\\1\" inserts Makefile name, \"\\2\" inserts library name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
345 Library command : command to create library directory \(\"\\1\" inserts
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
346 library directory, \"\\2\" inserts library name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
347 Compile directory: where compilation is run and the Makefile is placed
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
348 Library directory: directory of default library
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
349 Makefile name : name of Makefile (default is \"Makefile\")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
350 ID string : compiler identification string (see `vhdl-project-alist')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
351 Error message:
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
352 Regexp : regular expression to match error messages (*)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
353 File subexp index: index of subexpression that matches the file name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
354 Line subexp index: index of subexpression that matches the line number
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
355 Column subexp idx: index of subexpression that matches the column number
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
356 File message:
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
357 Regexp : regular expression to match a file name message
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
358 File subexp index: index of subexpression that matches the file name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
359 Unit-to-file name mapping: mapping of library unit names to names of files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
360 generated by the compiler (used for Makefile generation)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
361 To string : string a name is mapped to (\"\\1\" inserts the unit name,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
362 \"\\2\" inserts the entity name for architectures)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
363 Case adjustment : adjust case of inserted unit names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
364
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
365 \(*) The regular expression must match the error message starting from the
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
366 beginning of the line (but not necessarily to the end of the line).
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
367
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
368 Compile options allows insertion of the library name (see `vhdl-project-alist')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
369 in order to set the compilers library option (e.g. \"vcom -work my_lib\").
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
370
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
371 For Makefile generation, the built-in function can be used (requires
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
372 specification of the unit-to-file name mapping). Alternatively, an
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
373 external command can be specified. Work directory allows specification of
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
374 an alternative \"work\" library path (e.g. \"WORK/\" instead of \"work/\",
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
375 used for Makefile generation). To use another library name than \"work\",
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
376 customize `vhdl-project-alist'. The library command is inserted in Makefiles
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
377 to automatically create the library directory if not existent.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
378
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
379 Compile options, compile directory, library directory, and Makefile name are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
380 overwritten by the project settings if a project is defined (see
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
381 `vhdl-project-alist'). Directory paths are relative to the source file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
382 directory.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
383
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
384 Some compilers do not include the file name in the error message, but print
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
385 out a file name message in advance. In this case, set \"File Subexp Index\"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
386 under \"Error Message\" to 0 and fill out the \"File Message\" entries.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
387 If no file name at all is printed out, set both \"File Message\" entries to 0
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
388 \(a default file name message will be printed out instead, does not work in
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
389 XEmacs).
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
390
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
391 A compiler is selected for syntax analysis (`\\[vhdl-compile]') by
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
392 assigning its name to option `vhdl-compiler'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
393
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
394 Please send any missing or erroneous compiler properties to the maintainer for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
395 updating.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
396
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
397 NOTE: Activate new error and file message regexps and reflect the new setting
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
398 in the choice list of option `vhdl-compiler' by restarting Emacs."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
399 :type '(repeat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
400 (list :tag "Compiler" :indent 2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
401 (string :tag "Compiler name ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
402 (string :tag "Compile command ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
403 (string :tag "Compile options " "-work \\1")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
404 (string :tag "Make command " "make")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
405 (string :tag "Make options " "-f \\1")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
406 (choice :tag "Generate Makefile "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
407 (const :tag "Built-in function" nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
408 (string :tag "Command" "vmake \\2 > \\1"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
409 (string :tag "Library command " "mkdir \\1")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
410 (directory :tag "Compile directory "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
411 :validate vhdl-widget-directory-validate "./")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
412 (directory :tag "Library directory "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
413 :validate vhdl-widget-directory-validate "work/")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
414 (file :tag "Makefile name " "Makefile")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
415 (string :tag "ID string ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
416 (list :tag "Error message" :indent 4
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
417 (regexp :tag "Regexp ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
418 (integer :tag "File subexp index")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
419 (integer :tag "Line subexp index")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
420 (integer :tag "Column subexp idx"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
421 (list :tag "File message" :indent 4
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
422 (regexp :tag "Regexp ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
423 (integer :tag "File subexp index"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
424 (choice :tag "Unit-to-file name mapping"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
425 :format "%t: %[Value Menu%] %v\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
426 (const :tag "Not defined" nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
427 (list :tag "To string" :indent 4
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
428 (string :tag "Entity " "\\1.vhd")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
429 (string :tag "Architecture " "\\2_\\1.vhd")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
430 (string :tag "Configuration " "\\1.vhd")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
431 (string :tag "Package " "\\1.vhd")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
432 (string :tag "Package Body " "\\1_body.vhd")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
433 (choice :tag "Case adjustment "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
434 (const :tag "None" identity)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
435 (const :tag "Upcase" upcase)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
436 (const :tag "Downcase" downcase))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
437 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
438 (vhdl-custom-set variable value 'vhdl-update-mode-menu))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
439 :group 'vhdl-compile)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
440
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
441 (defcustom vhdl-compiler "ModelSim"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
442 "*Specifies the VHDL compiler to be used for syntax analysis.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
443 Select a compiler name from the ones defined in option `vhdl-compiler-alist'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
444 :type (let ((alist vhdl-compiler-alist) list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
445 (while alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
446 (setq list (cons (list 'const (caar alist)) list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
447 (setq alist (cdr alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
448 (append '(choice) (nreverse list)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
449 :group 'vhdl-compile)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
450
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
451 (defcustom vhdl-compile-use-local-error-regexp t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
452 "*Non-nil means use buffer-local `compilation-error-regexp-alist'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
453 In this case, only error message regexps for VHDL compilers are active if
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
454 compilation is started from a VHDL buffer. Otherwise, the error message
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
455 regexps are appended to the predefined global regexps, and all regexps are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
456 active all the time. Note that by doing that, the predefined global regexps
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
457 might result in erroneous parsing of error messages for some VHDL compilers.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
458
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
459 NOTE: Activate the new setting by restarting Emacs."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
460 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
461 :group 'vhdl-compile)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
462
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
463 (defcustom vhdl-makefile-generation-hook nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
464 "*Functions to run at the end of Makefile generation.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
465 Allows to insert user specific parts into a Makefile.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
466
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
467 Example:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
468 \(lambda nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
469 \(re-search-backward \"^# Rule for compiling entire design\")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
470 \(insert \"# My target\\n\\n.MY_TARGET :\\n\\n\\n\"))"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
471 :type 'hook
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
472 :group 'vhdl-compile)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
473
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
474 (defcustom vhdl-default-library "work"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
475 "*Name of default library.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
476 Is overwritten by project settings if a project is active."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
477 :type 'string
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
478 :group 'vhdl-compile)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
479
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
480
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
481 (defgroup vhdl-project nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
482 "Customizations for projects."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
483 :group 'vhdl)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
484
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
485 (defcustom vhdl-project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
486 '(("Example 1" "Source files in two directories, custom library name, VHDL'87"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
487 "~/example1/" ("src/system/" "src/components/") ""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
488 (("ModelSim" "-87 \\2" "-f \\1 top_level" nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
489 ("Synopsys" "-vhdl87 \\2" "-f \\1 top_level" ((".*/datapath/.*" . "-optimize \\3") (".*_tb\\.vhd" . nil))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
490 "lib/" "example3_lib" "lib/example3/" "Makefile_\\2" "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
491 ("Example 2" "Individual source files, multiple compilers in different directories"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
492 "$EXAMPLE2/" ("vhdl/system.vhd" "vhdl/component_*.vhd") ""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
493 nil "\\1/" "work" "\\1/work/" "Makefile" "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
494 ("Example 3" "Source files in a directory tree, multiple compilers in same directory"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
495 "/home/me/example3/" ("-r ./*/vhdl/") "/CVS/"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
496 nil "./" "work" "work-\\1/" "Makefile-\\1" "\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
497 -------------------------------------------------------------------------------
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
498 -- This is a multi-line project description
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
499 -- that can be used as a project dependent part of the file header.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
500 "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
501 "*List of projects and their properties.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
502 Name : name used in option `vhdl-project' to choose project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
503 Title : title of project (single-line string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
504 Default directory: default project directory (absolute path)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
505 Sources : a) source files : path + \"/\" + file name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
506 b) directory : path + \"/\"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
507 c) directory tree: \"-r \" + path + \"/\"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
508 Exclude regexp : matches file/directory names to be excluded as sources
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
509 Compile options : project-specific options for each compiler
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
510 Compiler name : name of compiler for which these options are valid
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
511 Compile options: project-specific compiler options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
512 (\"\\1\" inserts library name, \"\\2\" default options)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
513 Make options: project-specific make options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
514 (\"\\1\" inserts Makefile name, \"\\2\" default options)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
515 Exceptions : file-specific exceptions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
516 File name regexp: matches file names for which exceptions are valid
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
517 - Options : file-specific compiler options string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
518 (\"\\1\" inserts library name, \"\\2\" default options,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
519 \"\\3\" project-specific options)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
520 - Do not compile: do not compile this file (in Makefile)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
521 Compile directory: where compilation is run and the Makefile is placed
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
522 \(\"\\1\" inserts compiler ID string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
523 Library name : name of library (default is \"work\")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
524 Library directory: path to library (\"\\1\" inserts compiler ID string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
525 Makefile name : name of Makefile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
526 (\"\\1\" inserts compiler ID string, \"\\2\" library name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
527 Description : description of project (multi-line string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
528
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
529 Project title and description are used to insert into the file header (see
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
530 option `vhdl-file-header').
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
531
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
532 The default directory must have an absolute path (use `M-TAB' for completion).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
533 All other paths can be absolute or relative to the default directory. All
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
534 paths must end with '/'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
535
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
536 The design units found in the sources (files and directories) are shown in the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
537 hierarchy browser. Path and file name can contain wildcards `*' and `?' as
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
538 well as \"./\" and \"../\" (\"sh\" syntax). Paths can also be absolute.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
539 Environment variables (e.g. \"$EXAMPLE2\") are resolved. If no sources are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
540 specified, the default directory is taken as source directory. Otherwise,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
541 the default directory is only taken as source directory if there is a sources
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
542 entry with the empty string or \"./\". Exclude regexp allows to filter out
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
543 specific file and directory names from the list of sources (e.g. CVS
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
544 directories).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
545
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
546 Files are compiled in the compile directory. Makefiles are also placed into
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
547 the compile directory. Library directory specifies which directory the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
548 compiler compiles into (used to generate the Makefile).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
549
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
550 Since different compile/library directories and Makefiles may exist for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
551 different compilers within one project, these paths and names allow the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
552 insertion of a compiler-dependent ID string (defined in `vhdl-compiler-alist').
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
553 Compile options, compile directory, library directory, and Makefile name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
554 overwrite the settings of the current compiler.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
555
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
556 File-specific compiler options (highest priority) overwrite project-specific
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
557 options which overwrite default options (lowest priority). Lower priority
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
558 options can be inserted in higher priority options. This allows to reuse
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
559 default options (e.g. \"-file\") in project- or file-specific options (e.g.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
560 \"-93 -file\").
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
561
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
562 NOTE: Reflect the new setting in the choice list of option `vhdl-project'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
563 by restarting Emacs."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
564 :type `(repeat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
565 (list :tag "Project" :indent 2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
566 (string :tag "Name ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
567 (string :tag "Title ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
568 (directory :tag "Default directory"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
569 :validate vhdl-widget-directory-validate
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
570 ,(abbreviate-file-name default-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
571 (repeat :tag "Sources " :indent 4
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
572 (directory :format " %v" "./"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
573 (regexp :tag "Exclude regexp ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
574 (repeat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
575 :tag "Compile options " :indent 4
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
576 (list :tag "Compiler" :indent 6
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
577 ,(let ((alist vhdl-compiler-alist) list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
578 (while alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
579 (setq list (cons (list 'const (caar alist)) list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
580 (setq alist (cdr alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
581 (append '(choice :tag "Compiler name")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
582 (nreverse list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
583 (string :tag "Compile options" "\\2")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
584 (string :tag "Make options " "\\2")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
585 (repeat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
586 :tag "Exceptions " :indent 8
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
587 (cons :format "%v"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
588 (regexp :tag "File name regexp ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
589 (choice :format "%[Value Menu%] %v"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
590 (string :tag "Options" "\\3")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
591 (const :tag "Do not compile" nil))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
592 (directory :tag "Compile directory"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
593 :validate vhdl-widget-directory-validate "./")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
594 (string :tag "Library name " "work")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
595 (directory :tag "Library directory"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
596 :validate vhdl-widget-directory-validate "work/")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
597 (file :tag "Makefile name " "Makefile")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
598 (string :tag "Description: (type `C-j' for newline)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
599 :format "%t\n%v\n")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
600 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
601 (vhdl-custom-set variable value
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
602 'vhdl-update-mode-menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
603 'vhdl-speedbar-refresh))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
604 :group 'vhdl-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
605
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
606 (defcustom vhdl-project nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
607 "*Specifies the default for the current project.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
608 Select a project name from the ones defined in option `vhdl-project-alist'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
609 Is used to determine the project title and description to be inserted in file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
610 headers and the source files/directories to be scanned in the hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
611 browser. The current project can also be changed temporarily in the menu."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
612 :type (let ((alist vhdl-project-alist) list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
613 (while alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
614 (setq list (cons (list 'const (caar alist)) list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
615 (setq alist (cdr alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
616 (append '(choice (const :tag "None" nil) (const :tag "--"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
617 (nreverse list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
618 :group 'vhdl-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
619
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
620 (defcustom vhdl-project-file-name '("\\1.prj")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
621 "*List of file names/paths for importing/exporting project setups.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
622 \"\\1\" is replaced by the project name (SPC is replaced by `_'), \"\\2\" is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
623 replaced by the user name (allows to have user-specific project setups).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
624 The first entry is used as file name to import/export individual project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
625 setups. All entries are used to automatically import project setups at
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
626 startup (see option `vhdl-project-auto-load'). Projects loaded from the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
627 first entry are automatically made current. Hint: specify local project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
628 setups in first entry, global setups in following entries; loading a local
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
629 project setup will make it current, while loading the global setups
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
630 is done without changing the current project.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
631 Names can also have an absolute path (i.e. project setups can be stored
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
632 in global directories)."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
633 :type '(repeat (string :tag "File name" "\\1.prj"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
634 :group 'vhdl-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
635
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
636 (defcustom vhdl-project-auto-load '(startup)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
637 "*Automatically load project setups from files.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
638 All project setup files that match the file names specified in option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
639 `vhdl-project-file-name' are automatically loaded. The project of the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
640 \(alphabetically) last loaded setup of the first `vhdl-project-file-name'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
641 entry is activated.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
642 A project setup file can be obtained by exporting a project (see menu).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
643 At startup: project setup file is loaded at Emacs startup"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
644 :type '(set (const :tag "At startup" startup))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
645 :group 'vhdl-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
646
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
647 (defcustom vhdl-project-sort t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
648 "*Non-nil means projects are displayed in alphabetical order."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
649 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
650 :group 'vhdl-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
651
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
652
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
653 (defgroup vhdl-style nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
654 "Customizations for coding styles."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
655 :group 'vhdl
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
656 :group 'vhdl-template
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
657 :group 'vhdl-port
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
658 :group 'vhdl-compose)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
659
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
660 (defcustom vhdl-standard '(87 nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
661 "*VHDL standards used.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
662 Basic standard:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
663 VHDL'87 : IEEE Std 1076-1987
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
664 VHDL'93 : IEEE Std 1076-1993
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
665 Additional standards:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
666 VHDL-AMS : IEEE Std 1076.1 (analog-mixed-signal)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
667 Math packages: IEEE Std 1076.2 (`math_real', `math_complex')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
668
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
669 NOTE: Activate the new setting in a VHDL buffer by using the menu entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
670 \"Activate Options\"."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
671 :type '(list (choice :tag "Basic standard"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
672 (const :tag "VHDL'87" 87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
673 (const :tag "VHDL'93" 93))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
674 (set :tag "Additional standards" :indent 2
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
675 (const :tag "VHDL-AMS" ams)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
676 (const :tag "Math packages" math)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
677 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
678 (vhdl-custom-set variable value
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
679 'vhdl-template-map-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
680 'vhdl-mode-abbrev-table-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
681 'vhdl-template-construct-alist-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
682 'vhdl-template-package-alist-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
683 'vhdl-update-mode-menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
684 'vhdl-words-init 'vhdl-font-lock-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
685 :group 'vhdl-style)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
686
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
687 (defcustom vhdl-basic-offset 2
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
688 "*Amount of basic offset used for indentation.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
689 This value is used by + and - symbols in `vhdl-offsets-alist'."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
690 :type 'integer
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
691 :group 'vhdl-style)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
692
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
693 (defcustom vhdl-upper-case-keywords nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
694 "*Non-nil means convert keywords to upper case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
695 This is done when typed or expanded or by the fix case functions."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
696 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
697 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
698 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
699 :group 'vhdl-style)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
700
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
701 (defcustom vhdl-upper-case-types nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
702 "*Non-nil means convert standardized types to upper case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
703 This is done when expanded or by the fix case functions."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
704 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
705 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
706 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
707 :group 'vhdl-style)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
708
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
709 (defcustom vhdl-upper-case-attributes nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
710 "*Non-nil means convert standardized attributes to upper case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
711 This is done when expanded or by the fix case functions."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
712 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
713 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
714 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
715 :group 'vhdl-style)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
716
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
717 (defcustom vhdl-upper-case-enum-values nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
718 "*Non-nil means convert standardized enumeration values to upper case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
719 This is done when expanded or by the fix case functions."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
720 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
721 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
722 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
723 :group 'vhdl-style)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
724
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
725 (defcustom vhdl-upper-case-constants t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
726 "*Non-nil means convert standardized constants to upper case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
727 This is done when expanded."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
728 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
729 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
730 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
731 :group 'vhdl-style)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
732
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
733 (defcustom vhdl-use-direct-instantiation 'standard
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
734 "*Non-nil means use VHDL'93 direct component instantiation.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
735 Never : never
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
736 Standard: only in VHDL standards that allow it (VHDL'93 and higher)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
737 Always : always"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
738 :type '(choice (const :tag "Never" never)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
739 (const :tag "Standard" standard)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
740 (const :tag "Always" always))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
741 :group 'vhdl-style)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
742
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
743
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
744 (defgroup vhdl-naming nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
745 "Customizations for naming conventions."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
746 :group 'vhdl)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
747
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
748 (defcustom vhdl-entity-file-name '(".*" . "\\&")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
749 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
750 "*Specifies how the entity file name is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
751 The entity file name can be obtained by modifying the entity name (e.g.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
752 attaching or stripping off a substring). The file extension is automatically
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
753 taken from the file name of the current buffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
754 vhdl-name-doc-string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
755 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
756 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
757 :group 'vhdl-naming
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
758 :group 'vhdl-compose)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
759
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
760 (defcustom vhdl-architecture-file-name '("\\(.*\\) \\(.*\\)" . "\\1_\\2")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
761 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
762 "*Specifies how the architecture file name is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
763 The architecture file name can be obtained by modifying the entity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
764 and/or architecture name (e.g. attaching or stripping off a substring). The
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
765 file extension is automatically taken from the file name of the current
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
766 buffer. The string that is matched against the regexp is the concatenation
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
767 of the entity and the architecture name separated by a space. This gives
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
768 access to both names (see default setting as example)."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
769 vhdl-name-doc-string)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
770 :type '(cons (regexp :tag "From regexp")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
771 (string :tag "To string "))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
772 :group 'vhdl-naming
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
773 :group 'vhdl-compose)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
774
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
775 (defcustom vhdl-configuration-file-name '(".*" . "\\&")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
776 (concat
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
777 "*Specifies how the configuration file name is obtained.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
778 The configuration file name can be obtained by modifying the configuration
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
779 name (e.g. attaching or stripping off a substring). The file extension is
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
780 automatically taken from the file name of the current buffer."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
781 vhdl-name-doc-string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
782 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
783 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
784 :group 'vhdl-naming
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
785 :group 'vhdl-compose)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
786
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
787 (defcustom vhdl-package-file-name '(".*" . "\\&")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
788 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
789 "*Specifies how the package file name is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
790 The package file name can be obtained by modifying the package name (e.g.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
791 attaching or stripping off a substring). The file extension is automatically
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
792 taken from the file name of the current buffer. Package files can be created
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
793 in a different directory by prepending a relative or absolute path to the
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
794 file name."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
795 vhdl-name-doc-string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
796 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
797 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
798 :group 'vhdl-naming
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
799 :group 'vhdl-compose)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
800
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
801 (defcustom vhdl-file-name-case 'identity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
802 "*Specifies how to change case for obtaining file names.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
803 When deriving a file name from a VHDL unit name, case can be changed as
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
804 follows:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
805 As Is: case is not changed (taken as is)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
806 Lower Case: whole name is changed to lower case
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
807 Upper Case: whole name is changed to upper case
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
808 Capitalize: first letter of each word in name is capitalized"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
809 :type '(choice (const :tag "As Is" identity)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
810 (const :tag "Lower Case" downcase)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
811 (const :tag "Upper Case" upcase)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
812 (const :tag "Capitalize" capitalize))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
813 :group 'vhdl-naming
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
814 :group 'vhdl-compose)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
815
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
816
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
817 (defgroup vhdl-template nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
818 "Customizations for electrification."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
819 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
820
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
821 (defcustom vhdl-electric-keywords '(vhdl user)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
822 "*Type of keywords for which electrification is enabled.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
823 VHDL keywords: invoke built-in templates
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
824 User keywords: invoke user models (see option `vhdl-model-alist')"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
825 :type '(set (const :tag "VHDL keywords" vhdl)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
826 (const :tag "User model keywords" user))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
827 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
828 (vhdl-custom-set variable value 'vhdl-mode-abbrev-table-init))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
829 :group 'vhdl-template)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
830
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
831 (defcustom vhdl-optional-labels 'process
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
832 "*Constructs for which labels are to be queried.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
833 Template generators prompt for optional labels for:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
834 None : no constructs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
835 Processes only: processes only (also procedurals in VHDL-AMS)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
836 All constructs: all constructs with optional labels and keyword END"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
837 :type '(choice (const :tag "None" none)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
838 (const :tag "Processes only" process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
839 (const :tag "All constructs" all))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
840 :group 'vhdl-template)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
841
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
842 (defcustom vhdl-insert-empty-lines 'unit
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
843 "*Specifies whether to insert empty lines in some templates.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
844 This improves readability of code. Empty lines are inserted in:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
845 None : no constructs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
846 Design units only: entities, architectures, configurations, packages only
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
847 All constructs : also all constructs with BEGIN...END parts
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
848
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
849 Replaces option `vhdl-additional-empty-lines'."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
850 :type '(choice (const :tag "None" none)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
851 (const :tag "Design units only" unit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
852 (const :tag "All constructs" all))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
853 :group 'vhdl-template
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
854 :group 'vhdl-port
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
855 :group 'vhdl-compose)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
856
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
857 (defcustom vhdl-argument-list-indent nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
858 "*Non-nil means indent argument lists relative to opening parenthesis.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
859 That is, argument, association, and port lists start on the same line as the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
860 opening parenthesis and subsequent lines are indented accordingly.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
861 Otherwise, lists start on a new line and are indented as normal code."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
862 :type 'boolean
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
863 :group 'vhdl-template
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
864 :group 'vhdl-port
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
865 :group 'vhdl-compose)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
866
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
867 (defcustom vhdl-association-list-with-formals t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
868 "*Non-nil means write association lists with formal parameters.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
869 Templates prompt for formal and actual parameters (ports/generics).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
870 When pasting component instantiations, formals are included.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
871 If nil, only a list of actual parameters is entered."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
872 :type 'boolean
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
873 :group 'vhdl-template
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
874 :group 'vhdl-port
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
875 :group 'vhdl-compose)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
876
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
877 (defcustom vhdl-conditions-in-parenthesis nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
878 "*Non-nil means place parenthesis around condition expressions."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
879 :type 'boolean
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
880 :group 'vhdl-template)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
881
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
882 (defcustom vhdl-zero-string "'0'"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
883 "*String to use for a logic zero."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
884 :type 'string
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
885 :group 'vhdl-template)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
886
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
887 (defcustom vhdl-one-string "'1'"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
888 "*String to use for a logic one."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
889 :type 'string
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
890 :group 'vhdl-template)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
891
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
892
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
893 (defgroup vhdl-header nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
894 "Customizations for file header."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
895 :group 'vhdl-template
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
896 :group 'vhdl-compose)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
897
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
898 (defcustom vhdl-file-header "\
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
899 -------------------------------------------------------------------------------
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
900 -- Title : <title string>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
901 -- Project : <project>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
902 -------------------------------------------------------------------------------
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
903 -- File : <filename>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
904 -- Author : <author>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
905 -- Company : <company>
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
906 -- Created : <date>
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
907 -- Last update: <date>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
908 -- Platform : <platform>
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
909 -- Standard : <standard>
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
910 <projectdesc>-------------------------------------------------------------------------------
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
911 -- Description: <cursor>
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
912 <copyright>-------------------------------------------------------------------------------
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
913 -- Revisions :
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
914 -- Date Version Author Description
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
915 -- <date> 1.0 <login>\tCreated
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
916 -------------------------------------------------------------------------------
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
917
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
918 "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
919 "*String or file to insert as file header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
920 If the string specifies an existing file name, the contents of the file is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
921 inserted, otherwise the string itself is inserted as file header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
922 Type `C-j' for newlines.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
923 If the header contains RCS keywords, they may be written as <RCS>Keyword<RCS>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
924 if the header needs to be version controlled.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
925
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
926 The following keywords for template generation are supported:
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
927 <filename> : replaced by the name of the buffer
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
928 <author> : replaced by the user name and email address
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
929 \(`user-full-name',`mail-host-address', `user-mail-address')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
930 <login> : replaced by user login name (`user-login-name')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
931 <company> : replaced by contents of option `vhdl-company-name'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
932 <date> : replaced by the current date
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
933 <year> : replaced by the current year
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
934 <project> : replaced by title of current project (`vhdl-project')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
935 <projectdesc> : replaced by description of current project (`vhdl-project')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
936 <copyright> : replaced by copyright string (`vhdl-copyright-string')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
937 <platform> : replaced by contents of option `vhdl-platform-spec'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
938 <standard> : replaced by the VHDL language standard(s) used
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
939 <... string> : replaced by a queried string (\"...\" is the prompt word)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
940 <title string>: replaced by file title in automatically generated files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
941 <cursor> : final cursor position
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
942
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
943 The (multi-line) project description <projectdesc> can be used as a project
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
944 dependent part of the file header and can also contain the above keywords."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
945 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
946 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
947
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
948 (defcustom vhdl-file-footer ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
949 "*String or file to insert as file footer.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
950 If the string specifies an existing file name, the contents of the file is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
951 inserted, otherwise the string itself is inserted as file footer (i.e. at
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
952 the end of the file).
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
953 Type `C-j' for newlines.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
954 The same keywords as in option `vhdl-file-header' can be used."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
955 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
956 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
957
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
958 (defcustom vhdl-company-name ""
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
959 "*Name of company to insert in file header.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
960 See option `vhdl-file-header'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
961 :type 'string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
962 :group 'vhdl-header)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
963
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
964 (defcustom vhdl-copyright-string "\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
965 -------------------------------------------------------------------------------
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
966 -- Copyright (c) <year> <company>
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
967 "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
968 "*Copyright string to insert in file header.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
969 Can be multi-line string (type `C-j' for newline) and contain other file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
970 header keywords (see option `vhdl-file-header')."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
971 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
972 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
973
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
974 (defcustom vhdl-platform-spec ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
975 "*Specification of VHDL platform to insert in file header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
976 The platform specification should contain names and versions of the
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
977 simulation and synthesis tools used.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
978 See option `vhdl-file-header'."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
979 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
980 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
981
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
982 (defcustom vhdl-date-format "%Y-%m-%d"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
983 "*Specifies the date format to use in the header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
984 This string is passed as argument to the command `format-time-string'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
985 For more information on format strings, see the documentation for the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
986 `format-time-string' command (C-h f `format-time-string')."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
987 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
988 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
989
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
990 (defcustom vhdl-modify-date-prefix-string "-- Last update: "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
991 "*Prefix string of modification date in VHDL file header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
992 If actualization of the modification date is called (menu,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
993 `\\[vhdl-template-modify]'), this string is searched and the rest
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
994 of the line replaced by the current date."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
995 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
996 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
997
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
998 (defcustom vhdl-modify-date-on-saving t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
999 "*Non-nil means update the modification date when the buffer is saved.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1000 Calls function `\\[vhdl-template-modify]').
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1001
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1002 NOTE: Activate the new setting in a VHDL buffer by using the menu entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1003 \"Activate Options\"."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1004 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1005 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1006
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1007
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1008 (defgroup vhdl-sequential-process nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1009 "Customizations for sequential processes."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1010 :group 'vhdl-template)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1011
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1012 (defcustom vhdl-reset-kind 'async
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1013 "*Specifies which kind of reset to use in sequential processes."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1014 :type '(choice (const :tag "None" none)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1015 (const :tag "Synchronous" sync)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1016 (const :tag "Asynchronous" async))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1017 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1018
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1019 (defcustom vhdl-reset-active-high nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1020 "*Non-nil means reset in sequential processes is active high.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1021 Nil means active low."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1022 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1023 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1024
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1025 (defcustom vhdl-clock-rising-edge t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1026 "*Non-nil means rising edge of clock triggers sequential processes.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1027 Nil means falling edge."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1028 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1029 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1030
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1031 (defcustom vhdl-clock-edge-condition 'standard
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1032 "*Syntax of the clock edge condition.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1033 Standard: \"clk'event and clk = '1'\"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1034 Function: \"rising_edge(clk)\""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1035 :type '(choice (const :tag "Standard" standard)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1036 (const :tag "Function" function))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1037 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1038
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1039 (defcustom vhdl-clock-name ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1040 "*Name of clock signal to use in templates."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1041 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1042 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1043
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1044 (defcustom vhdl-reset-name ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1045 "*Name of reset signal to use in templates."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1046 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1047 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1048
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1049
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1050 (defgroup vhdl-model nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1051 "Customizations for user models."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1052 :group 'vhdl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1053
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1054 (defcustom vhdl-model-alist
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1055 '(("Example Model"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1056 "<label> : process (<clock>, <reset>)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1057 begin -- process <label>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1058 if <reset> = '0' then -- asynchronous reset (active low)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1059 <cursor>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1060 elsif <clock>'event and <clock> = '1' then -- rising clock edge
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1061 if <enable> = '1' then -- synchronous load
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1062
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1063 end if;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1064 end if;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1065 end process <label>;"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1066 "e" ""))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1067 "*List of user models.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1068 VHDL models (templates) can be specified by the user in this list. They can be
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1069 invoked from the menu, through key bindings (`C-c C-m ...'), or by keyword
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1070 electrification (i.e. overriding existing or creating new keywords, see
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1071 option `vhdl-electric-keywords').
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1072 Name : name of model (string of words and spaces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1073 String : string or name of file to be inserted as model (newline: `C-j')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1074 Key Binding: key binding to invoke model, added to prefix `C-c C-m'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1075 (must be in double-quotes, examples: \"i\", \"\\C-p\", \"\\M-s\")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1076 Keyword : keyword to invoke model
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1077
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1078 The models can contain prompts to be queried. A prompt is of the form \"<...>\".
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1079 A prompt that appears several times is queried once and replaced throughout
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1080 the model. Special prompts are:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1081 <clock> : name specified in `vhdl-clock-name' (if not empty)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1082 <reset> : name specified in `vhdl-reset-name' (if not empty)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1083 <cursor>: final cursor position
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1084 File header prompts (see variable `vhdl-file-header') are automatically
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1085 replaced, so that user models can also be used to insert different types of
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1086 headers.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1087
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1088 If the string specifies an existing file name, the contents of the file is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1089 inserted, otherwise the string itself is inserted.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1090 The code within the models should be correctly indented.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1091 Type `C-j' for newlines.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1092
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1093 NOTE: Activate the new setting in a VHDL buffer by using the menu entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1094 \"Activate Options\"."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1095 :type '(repeat (list :tag "Model" :indent 2
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1096 (string :tag "Name ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1097 (string :tag "String : (type `C-j' for newline)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1098 :format "%t\n%v")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1099 (sexp :tag "Key binding" x)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1100 (string :tag "Keyword " :format "%t: %v\n")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1101 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1102 (vhdl-custom-set variable value
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1103 'vhdl-model-map-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1104 'vhdl-model-defun
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1105 'vhdl-mode-abbrev-table-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1106 'vhdl-update-mode-menu))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1107 :group 'vhdl-model)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1108
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1109
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1110 (defgroup vhdl-compose nil
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1111 "Customizations for structural composition."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1112 :group 'vhdl)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1113
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1114 (defcustom vhdl-compose-architecture-name '(".*" . "str")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1115 (concat
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1116 "*Specifies how the component architecture name is obtained.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1117 The component architecture name can be obtained by modifying the entity name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1118 \(e.g. attaching or stripping off a substring).
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1119 If TO STRING is empty, the architecture name is queried."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1120 vhdl-name-doc-string)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1121 :type '(cons (regexp :tag "From regexp")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1122 (string :tag "To string "))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1123 :group 'vhdl-compose)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1124
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1125 (defcustom vhdl-compose-configuration-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1126 '("\\(.*\\) \\(.*\\)" . "\\1_\\2_cfg")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1127 (concat
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1128 "*Specifies how the configuration name is obtained.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1129 The configuration name can be obtained by modifying the entity and/or
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1130 architecture name (e.g. attaching or stripping off a substring). The string
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1131 that is matched against the regexp is the concatenation of the entity and the
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1132 architecture name separated by a space. This gives access to both names (see
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1133 default setting as example)."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1134 vhdl-name-doc-string)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1135 :type '(cons (regexp :tag "From regexp")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1136 (string :tag "To string "))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1137 :group 'vhdl-compose)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1138
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1139 (defcustom vhdl-components-package-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1140 '((".*" . "\\&_components") . "components")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1141 (concat
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1142 "*Specifies how the name for the components package is obtained.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1143 The components package is a package containing all component declarations for
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1144 the current design. It's name can be obtained by modifying the project name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1145 \(e.g. attaching or stripping off a substring). If no project is defined, the
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1146 DIRECTORY entry is chosen."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1147 vhdl-name-doc-string)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1148 :type '(cons (cons :tag "Project" :indent 2
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1149 (regexp :tag "From regexp")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1150 (string :tag "To string "))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1151 (string :tag "Directory:\n String "))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1152 :group 'vhdl-compose)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1153
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1154 (defcustom vhdl-use-components-package nil
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1155 "*Non-nil means use a separate components package for component declarations.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1156 Otherwise, component declarations are inserted and searched for in the
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1157 architecture declarative parts."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1158 :type 'boolean
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1159 :group 'vhdl-compose)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1160
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1161 (defcustom vhdl-compose-include-header t
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1162 "*Non-nil means include a header in automatically generated files."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1163 :type 'boolean
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1164 :group 'vhdl-compose)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1165
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1166 (defcustom vhdl-compose-create-files 'single
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1167 "*Specifies whether new files should be created for the new component.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1168 The component's entity and architecture are inserted:
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1169 None : in current buffer
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1170 Single file : in new single file
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1171 Separate files: in two separate files
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1172 The file names are obtained from variables `vhdl-entity-file-name' and
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1173 `vhdl-architecture-file-name'."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1174 :type '(choice (const :tag "None" none)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1175 (const :tag "Single file" single)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1176 (const :tag "Separate files" separate))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1177 :group 'vhdl-compose)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1178
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1179 (defcustom vhdl-compose-configuration-create-file nil
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1180 "*Specifies whether a new file should be created for the configuration.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1181 If non-nil, a new file is created for the configuration.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1182 The file name is obtained from variable `vhdl-configuration-file-name'."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1183 :type 'boolean
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1184 :group 'vhdl-compose)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1185
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1186 (defcustom vhdl-compose-configuration-hierarchical t
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1187 "*Specifies whether hierarchical configurations should be created.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1188 If non-nil, automatically created configurations are hierarchical and include
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1189 the whole hierarchy of subcomponents. Otherwise the configuration only
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1190 includes one level of subcomponents."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1191 :type 'boolean
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1192 :group 'vhdl-compose)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1193
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1194 (defcustom vhdl-compose-configuration-use-subconfiguration t
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1195 "*Specifies whether subconfigurations should be used inside configurations.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1196 If non-nil, automatically created configurations use configurations in binding
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1197 indications for subcomponents, if such configurations exist. Otherwise,
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1198 entities are used in binding indications for subcomponents."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1199 :type 'boolean
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1200 :group 'vhdl-compose)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1201
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1202
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1203 (defgroup vhdl-port nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1204 "Customizations for port translation functions."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1205 :group 'vhdl
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1206 :group 'vhdl-compose)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1207
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1208 (defcustom vhdl-include-port-comments nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1209 "*Non-nil means include port comments when a port is pasted."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1210 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1211 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1212
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1213 (defcustom vhdl-include-direction-comments nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1214 "*Non-nil means include port direction in instantiations as comments."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1215 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1216 :group 'vhdl-port)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1217
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1218 (defcustom vhdl-include-type-comments nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1219 "*Non-nil means include generic/port type in instantiations as comments."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1220 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1221 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1222
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1223 (defcustom vhdl-include-group-comments 'never
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1224 "*Specifies whether to include group comments and spacings.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1225 The comments and empty lines between groups of ports are pasted:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1226 Never : never
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1227 Declarations: in entity/component/constant/signal declarations only
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1228 Always : also in generic/port maps"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1229 :type '(choice (const :tag "Never" never)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1230 (const :tag "Declarations" decl)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1231 (const :tag "Always" always))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1232 :group 'vhdl-port)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1233
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1234 (defcustom vhdl-actual-port-name '(".*" . "\\&")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1235 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1236 "*Specifies how actual port names are obtained from formal port names.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1237 In a component instantiation, an actual port name can be obtained by
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1238 modifying the formal port name (e.g. attaching or stripping off a substring)."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1239 vhdl-name-doc-string)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1240 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1241 (string :tag "To string "))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1242 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1243
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1244 (defcustom vhdl-instance-name '(".*" . "\\&_%d")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1245 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1246 "*Specifies how an instance name is obtained.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1247 The instance name can be obtained by modifying the name of the component to be
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1248 instantiated (e.g. attaching or stripping off a substring). \"%d\" is replaced
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1249 by a unique number (starting with 1).
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1250 If TO STRING is empty, the instance name is queried."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1251 vhdl-name-doc-string)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1252 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1253 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1254 :group 'vhdl-port)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1255
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1256
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1257 (defgroup vhdl-testbench nil
64064
965579599592 (vhdl-testbench): Fix typo in docstring.
Juanma Barranquero <lekktu@gmail.com>
parents: 63520
diff changeset
1258 "Customizations for testbench generation."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1259 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1260
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1261 (defcustom vhdl-testbench-entity-name '(".*" . "\\&_tb")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1262 (concat
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1263 "*Specifies how the testbench entity name is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1264 The entity name of a testbench can be obtained by modifying the name of
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1265 the component to be tested (e.g. attaching or stripping off a substring)."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1266 vhdl-name-doc-string)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1267 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1268 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1269 :group 'vhdl-testbench)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1270
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1271 (defcustom vhdl-testbench-architecture-name '(".*" . "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1272 (concat
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1273 "*Specifies how the testbench architecture name is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1274 The testbench architecture name can be obtained by modifying the name of
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1275 the component to be tested (e.g. attaching or stripping off a substring).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1276 If TO STRING is empty, the architecture name is queried."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1277 vhdl-name-doc-string)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1278 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1279 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1280 :group 'vhdl-testbench)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1281
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1282 (defcustom vhdl-testbench-configuration-name vhdl-compose-configuration-name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1283 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1284 "*Specifies how the testbench configuration name is obtained.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1285 The configuration name of a testbench can be obtained by modifying the entity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1286 and/or architecture name (e.g. attaching or stripping off a substring). The
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1287 string that is matched against the regexp is the concatenation of the entity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1288 and the architecture name separated by a space. This gives access to both
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1289 names (see default setting as example)."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1290 vhdl-name-doc-string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1291 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1292 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1293 :group 'vhdl-testbench)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1294
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1295 (defcustom vhdl-testbench-dut-name '(".*" . "DUT")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1296 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1297 "*Specifies how a DUT instance name is obtained.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1298 The design-under-test instance name (i.e. the component instantiated in the
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1299 testbench) can be obtained by modifying the component name (e.g. attaching
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1300 or stripping off a substring)."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1301 vhdl-name-doc-string)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1302 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1303 (string :tag "To string "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1304 :group 'vhdl-testbench)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1305
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1306 (defcustom vhdl-testbench-include-header t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1307 "*Non-nil means include a header in automatically generated files."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1308 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1309 :group 'vhdl-testbench)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1310
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1311 (defcustom vhdl-testbench-declarations "\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1312 -- clock
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1313 signal Clk : std_logic := '1';
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1314 "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1315 "*String or file to be inserted in the testbench declarative part.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1316 If the string specifies an existing file name, the contents of the file is
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1317 inserted, otherwise the string itself is inserted in the testbench
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1318 architecture before the BEGIN keyword.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1319 Type `C-j' for newlines."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1320 :type 'string
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1321 :group 'vhdl-testbench)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1322
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1323 (defcustom vhdl-testbench-statements "\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1324 -- clock generation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1325 Clk <= not Clk after 10 ns;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1326
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1327 -- waveform generation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1328 WaveGen_Proc: process
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1329 begin
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1330 -- insert signal assignments here
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1331
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1332 wait until Clk = '1';
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1333 end process WaveGen_Proc;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1334 "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1335 "*String or file to be inserted in the testbench statement part.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1336 If the string specifies an existing file name, the contents of the file is
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1337 inserted, otherwise the string itself is inserted in the testbench
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1338 architecture before the END keyword.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1339 Type `C-j' for newlines."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1340 :type 'string
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1341 :group 'vhdl-testbench)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1342
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1343 (defcustom vhdl-testbench-initialize-signals nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1344 "*Non-nil means initialize signals with `0' when declared in testbench."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1345 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1346 :group 'vhdl-testbench)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1347
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1348 (defcustom vhdl-testbench-include-library t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1349 "*Non-nil means a library/use clause for std_logic_1164 is included."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1350 :type 'boolean
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1351 :group 'vhdl-testbench)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1352
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1353 (defcustom vhdl-testbench-include-configuration t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1354 "*Non-nil means a testbench configuration is attached at the end."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1355 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1356 :group 'vhdl-testbench)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1357
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1358 (defcustom vhdl-testbench-create-files 'single
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1359 "*Specifies whether new files should be created for the testbench.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1360 testbench entity and architecture are inserted:
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1361 None : in current buffer
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1362 Single file : in new single file
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1363 Separate files: in two separate files
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1364 The file names are obtained from variables `vhdl-testbench-entity-file-name'
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1365 and `vhdl-testbench-architecture-file-name'."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1366 :type '(choice (const :tag "None" none)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1367 (const :tag "Single file" single)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1368 (const :tag "Separate files" separate))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1369 :group 'vhdl-testbench)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1370
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1371 (defcustom vhdl-testbench-entity-file-name vhdl-entity-file-name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1372 (concat
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1373 "*Specifies how the testbench entity file name is obtained.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1374 The entity file name can be obtained by modifying the testbench entity name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1375 \(e.g. attaching or stripping off a substring). The file extension is
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1376 automatically taken from the file name of the current buffer. Testbench
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1377 files can be created in a different directory by prepending a relative or
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1378 absolute path to the file name."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1379 vhdl-name-doc-string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1380 :type '(cons (regexp :tag "From regexp")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1381 (string :tag "To string "))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1382 :group 'vhdl-testbench)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1383
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1384 (defcustom vhdl-testbench-architecture-file-name vhdl-architecture-file-name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1385 (concat
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1386 "*Specifies how the testbench architecture file name is obtained.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1387 The architecture file name can be obtained by modifying the testbench entity
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1388 and/or architecture name (e.g. attaching or stripping off a substring). The
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1389 string that is matched against the regexp is the concatenation of the entity
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1390 and the architecture name separated by a space. This gives access to both
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1391 names (see default setting as example). Testbench files can be created in
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1392 a different directory by prepending a relative or absolute path to the file
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1393 name."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1394 vhdl-name-doc-string)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1395 :type '(cons (regexp :tag "From regexp")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1396 (string :tag "To string "))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1397 :group 'vhdl-testbench)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1398
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1399
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1400 (defgroup vhdl-comment nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1401 "Customizations for comments."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1402 :group 'vhdl)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1403
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1404 (defcustom vhdl-self-insert-comments t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1405 "*Non-nil means various templates automatically insert help comments."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1406 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1407 :group 'vhdl-comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1408
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1409 (defcustom vhdl-prompt-for-comments t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1410 "*Non-nil means various templates prompt for user definable comments."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1411 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1412 :group 'vhdl-comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1413
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1414 (defcustom vhdl-inline-comment-column 40
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1415 "*Column to indent and align inline comments to.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1416 Overrides local option `comment-column'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1417
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1418 NOTE: Activate the new setting in a VHDL buffer by using the menu entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1419 \"Activate Options\"."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1420 :type 'integer
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1421 :group 'vhdl-comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1422
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1423 (defcustom vhdl-end-comment-column 79
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1424 "*End of comment column.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1425 Comments that exceed this column number are wrapped.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1426
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1427 NOTE: Activate the new setting in a VHDL buffer by using the menu entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1428 \"Activate Options\"."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1429 :type 'integer
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1430 :group 'vhdl-comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1431
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1432 (defvar end-comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1433
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1434
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1435 (defgroup vhdl-align nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1436 "Customizations for alignment."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1437 :group 'vhdl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1438
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1439 (defcustom vhdl-auto-align t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1440 "*Non-nil means align some templates automatically after generation."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1441 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1442 :group 'vhdl-align)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1443
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1444 (defcustom vhdl-align-groups t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1445 "*Non-nil means align groups of code lines separately.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1446 A group of code lines is a region of consecutive lines between two lines that
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1447 match the regexp in option `vhdl-align-group-separate'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1448 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1449 :group 'vhdl-align)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1450
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1451 (defcustom vhdl-align-group-separate "^\\s-*$"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1452 "*Regexp for matching a line that separates groups of lines for alignment.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1453 Examples:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1454 \"^\\s-*$\": matches an empty line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1455 \"^\\s-*\\(--.*\\)?$\": matches an empty line or a comment-only line"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1456 :type 'regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1457 :group 'vhdl-align)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1458
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1459 (defcustom vhdl-align-same-indent t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1460 "*Non-nil means align blocks with same indent separately.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1461 When a region or the entire buffer is aligned, the code is divided into
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1462 blocks of same indent which are aligned separately (except for argument/port
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1463 lists). This gives nicer alignment in most cases.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1464 Option `vhdl-align-groups' still applies within these blocks."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1465 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1466 :group 'vhdl-align)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1467
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1468
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1469 (defgroup vhdl-highlight nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1470 "Customizations for highlighting."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1471 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1472
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1473 (defcustom vhdl-highlight-keywords t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1474 "*Non-nil means highlight VHDL keywords and other standardized words.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1475 The following faces are used:
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1476 `font-lock-keyword-face' : keywords
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1477 `font-lock-type-face' : standardized types
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1478 `vhdl-font-lock-attribute-face': standardized attributes
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1479 `vhdl-font-lock-enumvalue-face': standardized enumeration values
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1480 `vhdl-font-lock-function-face' : standardized function and package names
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1481
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1482 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1483 entry \"Fontify Buffer\")."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1484 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1485 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1486 (vhdl-custom-set variable value 'vhdl-font-lock-init))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1487 :group 'vhdl-highlight)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1488
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1489 (defcustom vhdl-highlight-names t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1490 "*Non-nil means highlight declaration names and construct labels.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1491 The following faces are used:
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1492 `font-lock-function-name-face' : names in declarations of units,
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1493 subprograms, components, as well as labels of VHDL constructs
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1494 `font-lock-type-face' : names in type/nature declarations
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1495 `vhdl-font-lock-attribute-face': names in attribute declarations
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1496 `font-lock-variable-name-face' : names in declarations of signals,
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1497 variables, constants, subprogram parameters, generics, and ports
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1498
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1499 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1500 entry \"Fontify Buffer\")."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1501 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1502 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1503 (vhdl-custom-set variable value 'vhdl-font-lock-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1504 :group 'vhdl-highlight)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1505
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1506 (defcustom vhdl-highlight-special-words nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1507 "*Non-nil means highlight words with special syntax.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1508 The words with syntax and color specified in option `vhdl-special-syntax-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1509 are highlighted accordingly.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1510 Can be used for visual support of naming conventions.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1511
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1512 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1513 entry \"Fontify Buffer\")."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1514 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1515 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1516 (vhdl-custom-set variable value 'vhdl-font-lock-init))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1517 :group 'vhdl-highlight)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1518
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1519 (defcustom vhdl-highlight-forbidden-words nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1520 "*Non-nil means highlight forbidden words.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1521 The reserved words specified in option `vhdl-forbidden-words' or having the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1522 syntax specified in option `vhdl-forbidden-syntax' are highlighted in a
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1523 warning color (face `vhdl-font-lock-reserved-words-face') to indicate not to
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1524 use them.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1525
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1526 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1527 entry \"Fontify Buffer\")."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1528 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1529 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1530 (vhdl-custom-set variable value
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1531 'vhdl-words-init 'vhdl-font-lock-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1532 :group 'vhdl-highlight)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1533
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1534 (defcustom vhdl-highlight-verilog-keywords nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1535 "*Non-nil means highlight Verilog keywords as reserved words.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1536 Verilog keywords are highlighted in a warning color (face
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1537 `vhdl-font-lock-reserved-words-face') to indicate not to use them.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1538
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1539 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1540 entry \"Fontify Buffer\")."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1541 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1542 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1543 (vhdl-custom-set variable value
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1544 'vhdl-words-init 'vhdl-font-lock-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1545 :group 'vhdl-highlight)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1546
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1547 (defcustom vhdl-highlight-translate-off nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1548 "*Non-nil means background-highlight code excluded from translation.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1549 That is, all code between \"-- pragma translate_off\" and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1550 \"-- pragma translate_on\" is highlighted using a different background color
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1551 \(face `vhdl-font-lock-translate-off-face').
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1552 Note: this might slow down on-the-fly fontification (and thus editing).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1553
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1554 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1555 entry \"Fontify Buffer\")."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1556 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1557 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1558 (vhdl-custom-set variable value 'vhdl-font-lock-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1559 :group 'vhdl-highlight)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1560
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1561 (defcustom vhdl-highlight-case-sensitive nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1562 "*Non-nil means consider case for highlighting.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1563 Possible trade-off:
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1564 non-nil also upper-case VHDL words are highlighted, but case of words with
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1565 special syntax is not considered
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1566 nil only lower-case VHDL words are highlighted, but case of words with
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1567 special syntax is considered
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1568 Overrides local option `font-lock-keywords-case-fold-search'.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1569
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1570 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1571 entry \"Fontify Buffer\")."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1572 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1573 :group 'vhdl-highlight)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1574
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1575 (defcustom vhdl-special-syntax-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1576 '(("generic/constant" "\\w+_[cg]" "Gold3" "BurlyWood1")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1577 ("type" "\\w+_t" "ForestGreen" "PaleGreen")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1578 ("variable" "\\w+_v" "Grey50" "Grey80"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1579 "*List of special syntax to be highlighted.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1580 If option `vhdl-highlight-special-words' is non-nil, words with the specified
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1581 syntax (as regular expression) are highlighted in the corresponding color.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1582
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1583 Name : string of words and spaces
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1584 Regexp : regular expression describing word syntax
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1585 (e.g. \"\\\w+_c\" matches word with suffix \"_c\")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1586 Color (light): foreground color for light background
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1587 (matching color examples: Gold3, Grey50, LimeGreen, Tomato,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1588 LightSeaGreen, DodgerBlue, Gold, PaleVioletRed)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1589 Color (dark) : foreground color for dark background
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1590 (matching color examples: BurlyWood1, Grey80, Green, Coral,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1591 AquaMarine2, LightSkyBlue1, Yellow, PaleVioletRed1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1592
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1593 Can be used for visual support of naming conventions, such as highlighting
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1594 different kinds of signals (e.g. \"Clk50\", \"Rst_n\") or objects (e.g.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1595 \"Signal_s\", \"Variable_v\", \"Constant_c\") by distinguishing them using
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1596 common substrings or name suffices.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1597 For each entry, a new face is generated with the specified colors and name
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1598 \"vhdl-font-lock-\" + name + \"-face\".
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1599
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1600 NOTE: Activate a changed regexp in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1601 entry \"Fontify Buffer\"). All other changes require restarting Emacs."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1602 :type '(repeat (list :tag "Face" :indent 2
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1603 (string :tag "Name ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1604 (regexp :tag "Regexp " "\\w+_")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1605 (string :tag "Color (light)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1606 (string :tag "Color (dark) ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1607 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1608 (vhdl-custom-set variable value 'vhdl-font-lock-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1609 :group 'vhdl-highlight)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1610
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1611 (defcustom vhdl-forbidden-words '()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1612 "*List of forbidden words to be highlighted.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1613 If option `vhdl-highlight-forbidden-words' is non-nil, these reserved
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1614 words are highlighted in a warning color to indicate not to use them.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1615
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1616 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1617 entry \"Fontify Buffer\")."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1618 :type '(repeat (string :format "%v"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1619 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1620 (vhdl-custom-set variable value
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1621 'vhdl-words-init 'vhdl-font-lock-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1622 :group 'vhdl-highlight)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1623
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1624 (defcustom vhdl-forbidden-syntax ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1625 "*Syntax of forbidden words to be highlighted.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1626 If option `vhdl-highlight-forbidden-words' is non-nil, words with this
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1627 syntax are highlighted in a warning color to indicate not to use them.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1628 Can be used to highlight too long identifiers (e.g. \"\\w\\w\\w\\w\\w\\w\\w\\w\\w\\w+\"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1629 highlights identifiers with 10 or more characters).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1630
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1631 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1632 entry \"Fontify Buffer\")."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1633 :type 'regexp
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1634 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1635 (vhdl-custom-set variable value
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1636 'vhdl-words-init 'vhdl-font-lock-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1637 :group 'vhdl-highlight)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1638
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1639 (defcustom vhdl-directive-keywords '("pragma" "synopsys")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1640 "*List of compiler directive keywords recognized for highlighting.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1641
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1642 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1643 entry \"Fontify Buffer\")."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1644 :type '(repeat (string :format "%v"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1645 :set (lambda (variable value)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1646 (vhdl-custom-set variable value
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1647 'vhdl-words-init 'vhdl-font-lock-init))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1648 :group 'vhdl-highlight)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1649
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1650
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1651 (defgroup vhdl-speedbar nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1652 "Customizations for speedbar."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1653 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1654
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1655 (defcustom vhdl-speedbar-auto-open nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1656 "*Non-nil means automatically open speedbar at startup.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1657 Alternatively, the speedbar can be opened from the VHDL menu."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1658 :type 'boolean
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1659 :group 'vhdl-speedbar)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1660
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1661 (defcustom vhdl-speedbar-display-mode 'files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1662 "*Specifies the default displaying mode when opening speedbar.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1663 Alternatively, the displaying mode can be selected from the speedbar menu or
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1664 by typing `f' (files), `h' (directory hierarchy) or `H' (project hierarchy)."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1665 :type '(choice (const :tag "Files" files)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1666 (const :tag "Directory hierarchy" directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1667 (const :tag "Project hierarchy" project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1668 :group 'vhdl-speedbar)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1669
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1670 (defcustom vhdl-speedbar-scan-limit '(10000000 (1000000 50))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1671 "*Limits scanning of large files and netlists.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1672 Design units: maximum file size to scan for design units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1673 Hierarchy (instances of subcomponents):
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1674 File size: maximum file size to scan for instances (in bytes)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1675 Instances per arch: maximum number of instances to scan per architecture
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1676
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1677 \"None\" always means that there is no limit.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1678 In case of files not or incompletely scanned, a warning message and the file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1679 names are printed out.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1680 Background: scanning for instances is considerably slower than scanning for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1681 design units, especially when there are many instances. These limits should
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1682 prevent the scanning of large netlists."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1683 :type '(list (choice :tag "Design units"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1684 :format "%t : %[Value Menu%] %v"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1685 (const :tag "None" nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1686 (integer :tag "File size"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1687 (list :tag "Hierarchy" :indent 2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1688 (choice :tag "File size"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1689 :format "%t : %[Value Menu%] %v"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1690 (const :tag "None" nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1691 (integer :tag "Size "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1692 (choice :tag "Instances per arch"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1693 (const :tag "None" nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1694 (integer :tag "Number "))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1695 :group 'vhdl-speedbar)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1696
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1697 (defcustom vhdl-speedbar-jump-to-unit t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1698 "*Non-nil means jump to the design unit code when opened in a buffer.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1699 The buffer cursor position is left unchanged otherwise."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1700 :type 'boolean
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1701 :group 'vhdl-speedbar)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1702
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1703 (defcustom vhdl-speedbar-update-on-saving t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1704 "*Automatically update design hierarchy when buffer is saved."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1705 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1706 :group 'vhdl-speedbar)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1707
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1708 (defcustom vhdl-speedbar-save-cache '(hierarchy display)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1709 "*Automatically save modified hierarchy caches when exiting Emacs.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1710 Hierarchy: design hierarchy information
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1711 Display: displaying information (which design units to expand)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1712 :type '(set (const :tag "Hierarchy" hierarchy)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1713 (const :tag "Display" display))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1714 :group 'vhdl-speedbar)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1715
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1716 (defcustom vhdl-speedbar-cache-file-name ".emacs-vhdl-cache-\\1-\\2"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1717 "*Name of file for saving hierarchy cache.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1718 \"\\1\" is replaced by the project name if a project is specified,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1719 \"directory\" otherwise. \"\\2\" is replaced by the user name (allows for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1720 different users to have cache files in the same directory). Can also have
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1721 an absolute path (i.e. all caches can be stored in one global directory)."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1722 :type 'string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1723 :group 'vhdl-speedbar)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1724
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1725
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1726 (defgroup vhdl-menu nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1727 "Customizations for menues."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1728 :group 'vhdl)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1729
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1730 (defcustom vhdl-index-menu nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1731 "*Non-nil means add an index menu for a source file when loading.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1732 Alternatively, the speedbar can be used. Note that the index menu scans a file
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1733 when it is opened, while speedbar only scans the file upon request."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1734 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1735 :group 'vhdl-menu)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1736
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1737 (defcustom vhdl-source-file-menu nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1738 "*Non-nil means add a menu of all source files in current directory.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1739 Alternatively, the speedbar can be used."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1740 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1741 :group 'vhdl-menu)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1742
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1743 (defcustom vhdl-hideshow-menu nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1744 "*Non-nil means add hideshow menu and functionality at startup.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1745 Hideshow can also be enabled from the VHDL Mode menu.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1746 Hideshow allows hiding code of various VHDL constructs.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1747
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1748 NOTE: Activate the new setting in a VHDL buffer by using the menu entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1749 \"Activate Options\"."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1750 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1751 :group 'vhdl-menu)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1752
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1753 (defcustom vhdl-hide-all-init nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1754 "*Non-nil means hide all design units initially after a file is loaded."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1755 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1756 :group 'vhdl-menu)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1757
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1758
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1759 (defgroup vhdl-print nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1760 "Customizations for printing."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1761 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1762
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1763 (defcustom vhdl-print-two-column t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1764 "*Non-nil means print code in two columns and landscape format.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1765 Adjusts settings in a way that postscript printing (\"File\" menu, `ps-print')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1766 prints VHDL files in a nice two-column landscape style.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1767
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1768 NOTE: Activate the new setting by restarting Emacs.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1769 Overrides `ps-print' settings locally."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1770 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1771 :group 'vhdl-print)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1772
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1773 (defcustom vhdl-print-customize-faces t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1774 "*Non-nil means use an optimized set of faces for postscript printing.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1775
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1776 NOTE: Activate the new setting by restarting Emacs.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1777 Overrides `ps-print' settings locally."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1778 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1779 :group 'vhdl-print)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1780
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1781
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1782 (defgroup vhdl-misc nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1783 "Miscellaneous customizations."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1784 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1785
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1786 (defcustom vhdl-intelligent-tab t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1787 "*Non-nil means `TAB' does indentation, word completion and tab insertion.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1788 That is, if preceeding character is part of a word then complete word,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1789 else if not at beginning of line then insert tab,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1790 else if last command was a `TAB' or `RET' then dedent one step,
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1791 else indent current line (i.e. `TAB' is bound to `vhdl-electric-tab').
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1792 If nil, TAB always indents current line (i.e. `TAB' is bound to
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1793 `indent-according-to-mode').
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1794
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1795 NOTE: Activate the new setting in a VHDL buffer by using the menu entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1796 \"Activate Options\"."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1797 :type 'boolean
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1798 :group 'vhdl-misc)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1799
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1800 (defcustom vhdl-indent-syntax-based t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1801 "*Non-nil means indent lines of code based on their syntactic context.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1802 Otherwise, a line is indented like the previous nonblank line. This can be
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1803 useful in large files where syntax-based indentation gets very slow."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1804 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1805 :group 'vhdl-misc)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1806
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1807 (defcustom vhdl-word-completion-case-sensitive nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1808 "*Non-nil means word completion using `TAB' is case sensitive.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1809 That is, `TAB' completes words that start with the same letters and case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1810 Otherwise, case is ignored."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1811 :type 'boolean
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1812 :group 'vhdl-misc)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1813
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1814 (defcustom vhdl-word-completion-in-minibuffer t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1815 "*Non-nil enables word completion in minibuffer (for template prompts).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1816
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1817 NOTE: Activate the new setting by restarting Emacs."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1818 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1819 :group 'vhdl-misc)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1820
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1821 (defcustom vhdl-underscore-is-part-of-word nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1822 "*Non-nil means consider the underscore character `_' as part of word.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1823 An identifier containing underscores is then treated as a single word in
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1824 select and move operations. All parts of an identifier separated by underscore
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1825 are treated as single words otherwise.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1826
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1827 NOTE: Activate the new setting in a VHDL buffer by using the menu entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1828 \"Activate Options\"."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1829 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1830 :set (lambda (variable value)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1831 (vhdl-custom-set variable value 'vhdl-mode-syntax-table-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1832 :group 'vhdl-misc)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1833
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1834
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1835 (defgroup vhdl-related nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1836 "Related general customizations."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1837 :group 'vhdl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1838
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1839 ;; add related general customizations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1840 (custom-add-to-group 'vhdl-related 'hideshow 'custom-group)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1841 (if vhdl-xemacs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1842 (custom-add-to-group 'vhdl-related 'paren-mode 'custom-variable)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1843 (custom-add-to-group 'vhdl-related 'paren-showing 'custom-group))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1844 (custom-add-to-group 'vhdl-related 'ps-print 'custom-group)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1845 (custom-add-to-group 'vhdl-related 'speedbar 'custom-group)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1846 (custom-add-to-group 'vhdl-related 'line-number-mode 'custom-variable)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1847 (unless vhdl-xemacs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1848 (custom-add-to-group 'vhdl-related 'transient-mark-mode 'custom-variable))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1849 (custom-add-to-group 'vhdl-related 'user-full-name 'custom-variable)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1850 (custom-add-to-group 'vhdl-related 'mail-host-address 'custom-variable)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1851 (custom-add-to-group 'vhdl-related 'user-mail-address 'custom-variable)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1852
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1853 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1854 ;; Internal variables
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1855
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1856 (defvar vhdl-menu-max-size 20
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1857 "*Specifies the maximum size of a menu before splitting it into submenues.")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1858
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1859 (defvar vhdl-progress-interval 1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1860 "*Interval used to update progress status during long operations.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1861 If a number, percentage complete gets updated after each interval of
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1862 that many seconds. To inhibit all messages, set this option to nil.")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1863
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1864 (defvar vhdl-inhibit-startup-warnings-p nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1865 "*If non-nil, inhibits start up compatibility warnings.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1866
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1867 (defvar vhdl-strict-syntax-p nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1868 "*If non-nil, all syntactic symbols must be found in `vhdl-offsets-alist'.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1869 If the syntactic symbol for a particular line does not match a symbol
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1870 in the offsets alist, an error is generated, otherwise no error is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1871 reported and the syntactic symbol is ignored.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1872
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1873 (defvar vhdl-echo-syntactic-information-p nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1874 "*If non-nil, syntactic info is echoed when the line is indented.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1875
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1876 (defconst vhdl-offsets-alist-default
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1877 '((string . -1000)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1878 (cpp-macro . -1000)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1879 (block-open . 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1880 (block-close . 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1881 (statement . 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1882 (statement-cont . vhdl-lineup-statement-cont)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1883 (statement-block-intro . +)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1884 (statement-case-intro . +)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1885 (case-alternative . +)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1886 (comment . vhdl-lineup-comment)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1887 (arglist-intro . +)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1888 (arglist-cont . 0)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1889 (arglist-cont-nonempty . vhdl-lineup-arglist)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1890 (arglist-close . vhdl-lineup-arglist)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1891 (entity . 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1892 (configuration . 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1893 (package . 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1894 (architecture . 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
1895 (package-body . 0)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1896 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1897 "Default settings for offsets of syntactic elements.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1898 Do not change this constant! See the variable `vhdl-offsets-alist' for
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1899 more information.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1900
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1901 (defvar vhdl-offsets-alist (copy-alist vhdl-offsets-alist-default)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1902 "*Association list of syntactic element symbols and indentation offsets.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1903 As described below, each cons cell in this list has the form:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1904
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1905 (SYNTACTIC-SYMBOL . OFFSET)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1906
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1907 When a line is indented, `vhdl-mode' first determines the syntactic
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1908 context of the line by generating a list of symbols called syntactic
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1909 elements. This list can contain more than one syntactic element and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1910 the global variable `vhdl-syntactic-context' contains the context list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1911 for the line being indented. Each element in this list is actually a
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1912 cons cell of the syntactic symbol and a buffer position. This buffer
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1913 position is call the relative indent point for the line. Some
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1914 syntactic symbols may not have a relative indent point associated with
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1915 them.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1916
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1917 After the syntactic context list for a line is generated, `vhdl-mode'
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1918 calculates the absolute indentation for the line by looking at each
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1919 syntactic element in the list. First, it compares the syntactic
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1920 element against the SYNTACTIC-SYMBOL's in `vhdl-offsets-alist'. When it
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1921 finds a match, it adds the OFFSET to the column of the relative indent
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1922 point. The sum of this calculation for each element in the syntactic
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1923 list is the absolute offset for line being indented.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1924
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1925 If the syntactic element does not match any in the `vhdl-offsets-alist',
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1926 an error is generated if `vhdl-strict-syntax-p' is non-nil, otherwise
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1927 the element is ignored.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1928
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1929 Actually, OFFSET can be an integer, a function, a variable, or one of
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1930 the following symbols: `+', `-', `++', or `--'. These latter
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1931 designate positive or negative multiples of `vhdl-basic-offset',
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1932 respectively: *1, *-1, *2, and *-2. If OFFSET is a function, it is
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1933 called with a single argument containing the cons of the syntactic
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1934 element symbol and the relative indent point. The function should
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1935 return an integer offset.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1936
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1937 Here is the current list of valid syntactic element symbols:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1938
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1939 string -- inside multi-line string
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1940 block-open -- statement block open
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1941 block-close -- statement block close
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1942 statement -- a VHDL statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1943 statement-cont -- a continuation of a VHDL statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1944 statement-block-intro -- the first line in a new statement block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1945 statement-case-intro -- the first line in a case alternative block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1946 case-alternative -- a case statement alternative clause
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1947 comment -- a line containing only a comment
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1948 arglist-intro -- the first line in an argument list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1949 arglist-cont -- subsequent argument list lines when no
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1950 arguments follow on the same line as the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1951 the arglist opening paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1952 arglist-cont-nonempty -- subsequent argument list lines when at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1953 least one argument follows on the same
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1954 line as the arglist opening paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1955 arglist-close -- the solo close paren of an argument list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1956 entity -- inside an entity declaration
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1957 configuration -- inside a configuration declaration
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1958 package -- inside a package declaration
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1959 architecture -- inside an architecture body
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1960 package-body -- inside a package body")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1961
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1962 (defvar vhdl-comment-only-line-offset 0
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1963 "*Extra offset for line which contains only the start of a comment.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1964 Can contain an integer or a cons cell of the form:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1965
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1966 (NON-ANCHORED-OFFSET . ANCHORED-OFFSET)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1967
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1968 Where NON-ANCHORED-OFFSET is the amount of offset given to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1969 non-column-zero anchored comment-only lines, and ANCHORED-OFFSET is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1970 the amount of offset to give column-zero anchored comment-only lines.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1971 Just an integer as value is equivalent to (<val> . 0)")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1972
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1973 (defvar vhdl-special-indent-hook nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1974 "*Hook for user defined special indentation adjustments.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1975 This hook gets called after a line is indented by the mode.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1976
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1977 (defvar vhdl-style-alist
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1978 '(("IEEE"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1979 (vhdl-basic-offset . 4)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
1980 (vhdl-offsets-alist . ())))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1981 "Styles of Indentation.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1982 Elements of this alist are of the form:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1983
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1984 (STYLE-STRING (VARIABLE . VALUE) [(VARIABLE . VALUE) ...])
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1985
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1986 where STYLE-STRING is a short descriptive string used to select a
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1987 style, VARIABLE is any `vhdl-mode' variable, and VALUE is the intended
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1988 value for that variable when using the selected style.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1989
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1990 There is one special case when VARIABLE is `vhdl-offsets-alist'. In this
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1991 case, the VALUE is a list containing elements of the form:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1992
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1993 (SYNTACTIC-SYMBOL . VALUE)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1994
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1995 as described in `vhdl-offsets-alist'. These are passed directly to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1996 `vhdl-set-offset' so there is no need to set every syntactic symbol in
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1997 your style, only those that are different from the default.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1998
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1999 ;; dynamically append the default value of most variables
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2000 (or (assoc "Default" vhdl-style-alist)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2001 (let* ((varlist '(vhdl-inhibit-startup-warnings-p
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2002 vhdl-strict-syntax-p
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2003 vhdl-echo-syntactic-information-p
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2004 vhdl-basic-offset
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2005 vhdl-offsets-alist
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2006 vhdl-comment-only-line-offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2007 (default (cons "Default"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2008 (mapcar
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2009 (function
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2010 (lambda (var)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2011 (cons var (symbol-value var))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2012 varlist))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2013 (setq vhdl-style-alist (cons default vhdl-style-alist))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2014
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2015 (defvar vhdl-mode-hook nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2016 "*Hook called by `vhdl-mode'.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2017
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2018
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2019 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2020 ;;; Required packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2021 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2022
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2023 ;; mandatory
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2024 (require 'assoc)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2025 (require 'compile) ; XEmacs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2026 (require 'easymenu)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2027 (require 'hippie-exp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2028
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2029 ;; optional (minimize warning messages during compile)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2030 (eval-when-compile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2031 (require 'font-lock)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2032 (require 'ps-print)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2033 (require 'speedbar))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2034
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2035
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2036 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2037 ;;; Compatibility
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2038 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2039
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2040 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2041 ;; XEmacs compatibility
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2042
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2043 ;; active regions
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2044 (defun vhdl-keep-region-active ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2045 "Do whatever is necessary to keep the region active in XEmacs.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2046 Ignore byte-compiler warnings you might see."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2047 (and (boundp 'zmacs-region-stays)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2048 (setq zmacs-region-stays t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2049
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2050 ;; `wildcard-to-regexp' is included only in XEmacs 21
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2051 (unless (fboundp 'wildcard-to-regexp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2052 (defun wildcard-to-regexp (wildcard)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2053 "Simplified version of `wildcard-to-regexp' from Emacs' `files.el'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2054 (let* ((i (string-match "[*?]" wildcard))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2055 (result (substring wildcard 0 i))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2056 (len (length wildcard)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2057 (when i
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2058 (while (< i len)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2059 (let ((ch (aref wildcard i)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2060 (setq result (concat result
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2061 (cond ((eq ch ?*) "[^\000]*")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2062 ((eq ch ??) "[^\000]")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2063 (t (char-to-string ch)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2064 (setq i (1+ i)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2065 (concat "\\`" result "\\'"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2066
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2067 ;; `regexp-opt' undefined (`xemacs-devel' not installed)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2068 ;; `regexp-opt' accelerates fontification by 10-20%
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2069 (unless (fboundp 'regexp-opt)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2070 ; (vhdl-warning-when-idle "Please install `xemacs-devel' package.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2071 (defun regexp-opt (strings &optional paren)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2072 (let ((open (if paren "\\(" "")) (close (if paren "\\)" "")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2073 (concat open (mapconcat 'regexp-quote strings "\\|") close))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2074
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2075 ;; `match-string-no-properties' undefined (XEmacs, what else?)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2076 (unless (fboundp 'match-string-no-properties)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2077 (defalias 'match-string-no-properties 'match-string))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2078
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2079 ;; `subst-char-in-string' undefined (XEmacs)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2080 (unless (fboundp 'subst-char-in-string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2081 (defun subst-char-in-string (fromchar tochar string &optional inplace)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2082 (let ((i (length string))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2083 (newstr (if inplace string (copy-sequence string))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2084 (while (> i 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2085 (setq i (1- i))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2086 (if (eq (aref newstr i) fromchar) (aset newstr i tochar)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2087 newstr)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2088
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2089 ;; `itimer.el': idle timer bug fix in version 1.09 (XEmacs 21.1.9)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2090 (when (and vhdl-xemacs (string< itimer-version "1.09")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2091 (not noninteractive))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2092 (load "itimer")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2093 (when (string< itimer-version "1.09")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2094 (message "WARNING: Install included `itimer.el' patch first (see INSTALL file)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2095 (beep) (sit-for 5)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2096
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2097 ;; `file-expand-wildcards' undefined (XEmacs)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2098 (unless (fboundp 'file-expand-wildcards)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2099 (defun file-expand-wildcards (pattern &optional full)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2100 "Taken from Emacs' `files.el'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2101 (let* ((nondir (file-name-nondirectory pattern))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2102 (dirpart (file-name-directory pattern))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2103 (dirs (if (and dirpart (string-match "[[*?]" dirpart))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2104 (mapcar 'file-name-as-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2105 (file-expand-wildcards (directory-file-name dirpart)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2106 (list dirpart)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2107 contents)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2108 (while dirs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2109 (when (or (null (car dirs)) ; Possible if DIRPART is not wild.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2110 (file-directory-p (directory-file-name (car dirs))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2111 (let ((this-dir-contents
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2112 (delq nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2113 (mapcar #'(lambda (name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2114 (unless (string-match "\\`\\.\\.?\\'"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2115 (file-name-nondirectory name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2116 name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2117 (directory-files (or (car dirs) ".") full
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2118 (wildcard-to-regexp nondir))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2119 (setq contents
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2120 (nconc
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2121 (if (and (car dirs) (not full))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2122 (mapcar (function (lambda (name) (concat (car dirs) name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2123 this-dir-contents)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2124 this-dir-contents)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2125 contents))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2126 (setq dirs (cdr dirs)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2127 contents)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2128
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2129 ;; `member-ignore-case' undefined (XEmacs)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2130 (unless (fboundp 'member-ignore-case)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2131 (defalias 'member-ignore-case 'member))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2132
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2133 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2134 ;; Compatibility with older VHDL Mode versions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2135
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2136 (defvar vhdl-warnings nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2137 "Warnings to tell the user during start up.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2138
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2139 (defun vhdl-run-when-idle (secs repeat function)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2140 "Wait until idle, then run FUNCTION."
61431
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
2141 (if (fboundp 'start-itimer)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2142 (start-itimer "vhdl-mode" function secs repeat t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2143 ; (run-with-idle-timer secs repeat function)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2144 ;; explicitely activate timer (necessary when Emacs is already idle)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2145 (aset (run-with-idle-timer secs repeat function) 0 nil)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2146
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2147 (defun vhdl-warning-when-idle (&rest args)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2148 "Wait until idle, then print out warning STRING and beep."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2149 (if noninteractive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2150 (vhdl-warning (apply 'format args) t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2151 (unless vhdl-warnings
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2152 (vhdl-run-when-idle .1 nil 'vhdl-print-warnings))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2153 (setq vhdl-warnings (cons (apply 'format args) vhdl-warnings))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2154
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2155 (defun vhdl-warning (string &optional nobeep)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2156 "Print out warning STRING and beep."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2157 (message (concat "WARNING: " string))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2158 (unless (or nobeep noninteractive) (beep)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2159
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2160 (defun vhdl-print-warnings ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2161 "Print out messages in variable `vhdl-warnings'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2162 (let ((no-warnings (length vhdl-warnings)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2163 (setq vhdl-warnings (nreverse vhdl-warnings))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2164 (while vhdl-warnings
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2165 (message (concat "WARNING: " (car vhdl-warnings)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2166 (setq vhdl-warnings (cdr vhdl-warnings)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2167 (beep)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2168 (when (> no-warnings 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2169 (message "WARNING: See warnings in message buffer (type `C-c M-m')."))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2170
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2171 ;; Backward compatibility checks and fixes
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2172 ;; option `vhdl-compiler' changed format
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2173 (unless (stringp vhdl-compiler)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2174 (setq vhdl-compiler "ModelSim")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2175 (vhdl-warning-when-idle "Option `vhdl-compiler' has changed format; customize again"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2176
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2177 ;; option `vhdl-standard' changed format
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2178 (unless (listp vhdl-standard)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2179 (setq vhdl-standard '(87 nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2180 (vhdl-warning-when-idle "Option `vhdl-standard' has changed format; customize again"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2181
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2182 ;; option `vhdl-model-alist' changed format
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2183 (when (= (length (car vhdl-model-alist)) 3)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2184 (let ((old-alist vhdl-model-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2185 new-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2186 (while old-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2187 (setq new-alist (cons (append (car old-alist) '("")) new-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2188 (setq old-alist (cdr old-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2189 (setq vhdl-model-alist (nreverse new-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2190 (customize-save-variable 'vhdl-model-alist vhdl-model-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2191
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2192 ;; option `vhdl-project-alist' changed format
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2193 (when (= (length (car vhdl-project-alist)) 3)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2194 (let ((old-alist vhdl-project-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2195 new-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2196 (while old-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2197 (setq new-alist (cons (append (car old-alist) '("")) new-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2198 (setq old-alist (cdr old-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2199 (setq vhdl-project-alist (nreverse new-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2200 (customize-save-variable 'vhdl-project-alist vhdl-project-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2201
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2202 ;; option `vhdl-project-alist' changed format (3.31.1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2203 (when (= (length (car vhdl-project-alist)) 4)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2204 (let ((old-alist vhdl-project-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2205 new-alist elem)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2206 (while old-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2207 (setq elem (car old-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2208 (setq new-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2209 (cons (list (nth 0 elem) (nth 1 elem) "" (nth 2 elem)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2210 nil "./" "work" "work/" "Makefile" (nth 3 elem))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2211 new-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2212 (setq old-alist (cdr old-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2213 (setq vhdl-project-alist (nreverse new-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2214 (vhdl-warning-when-idle "Option `vhdl-project-alist' changed format; please re-customize"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2215
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2216 ;; option `vhdl-project-alist' changed format (3.31.12)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2217 (when (= (length (car vhdl-project-alist)) 10)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2218 (let ((tmp-alist vhdl-project-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2219 (while tmp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2220 (setcdr (nthcdr 3 (car tmp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2221 (cons "" (nthcdr 4 (car tmp-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2222 (setq tmp-alist (cdr tmp-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2223 (customize-save-variable 'vhdl-project-alist vhdl-project-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2224
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2225 ;; option `vhdl-compiler-alist' changed format (3.31.1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2226 (when (= (length (car vhdl-compiler-alist)) 7)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2227 (let ((old-alist vhdl-compiler-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2228 new-alist elem)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2229 (while old-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2230 (setq elem (car old-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2231 (setq new-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2232 (cons (list (nth 0 elem) (nth 1 elem) "" "make -f \\1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2233 (if (equal (nth 3 elem) "") nil (nth 3 elem))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2234 (nth 4 elem) "work/" "Makefile" (downcase (nth 0 elem))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2235 (nth 5 elem) (nth 6 elem) nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2236 new-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2237 (setq old-alist (cdr old-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2238 (setq vhdl-compiler-alist (nreverse new-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2239 (vhdl-warning-when-idle "Option `vhdl-compiler-alist' changed; please reset and re-customize"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2240
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2241 ;; option `vhdl-compiler-alist' changed format (3.31.10)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2242 (when (= (length (car vhdl-compiler-alist)) 12)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2243 (let ((tmp-alist vhdl-compiler-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2244 (while tmp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2245 (setcdr (nthcdr 4 (car tmp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2246 (cons "mkdir \\1" (nthcdr 5 (car tmp-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2247 (setq tmp-alist (cdr tmp-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2248 (customize-save-variable 'vhdl-compiler-alist vhdl-compiler-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2249
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2250 ;; option `vhdl-compiler-alist' changed format (3.31.11)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2251 (when (= (length (car vhdl-compiler-alist)) 13)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2252 (let ((tmp-alist vhdl-compiler-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2253 (while tmp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2254 (setcdr (nthcdr 3 (car tmp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2255 (cons "" (nthcdr 4 (car tmp-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2256 (setq tmp-alist (cdr tmp-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2257 (customize-save-variable 'vhdl-compiler-alist vhdl-compiler-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2258
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2259 ;; option `vhdl-compiler-alist' changed format (3.32.7)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2260 (when (= (length (nth 11 (car vhdl-compiler-alist))) 3)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2261 (let ((tmp-alist vhdl-compiler-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2262 (while tmp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2263 (setcdr (nthcdr 2 (nth 11 (car tmp-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2264 '(0 . nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2265 (setq tmp-alist (cdr tmp-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2266 (customize-save-variable 'vhdl-compiler-alist vhdl-compiler-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2267
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2268 ;; option `vhdl-project': empty value changed from "" to nil (3.31.1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2269 (when (equal vhdl-project "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2270 (setq vhdl-project nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2271 (customize-save-variable 'vhdl-project vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2272
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2273 ;; option `vhdl-project-file-name': changed format (3.31.17 beta)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2274 (when (stringp vhdl-project-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2275 (setq vhdl-project-file-name (list vhdl-project-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2276 (customize-save-variable 'vhdl-project-file-name vhdl-project-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2277
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2278 ;; option `speedbar-indentation-width': introduced in speedbar 0.10
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2279 (if (not (boundp 'speedbar-indentation-width))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2280 (defvar speedbar-indentation-width 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2281 ;; set default to 2 if not already customized
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2282 (unless (get 'speedbar-indentation-width 'saved-value)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2283 (setq speedbar-indentation-width 2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2284
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2285
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2286 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2287 ;;; Help functions / inline substitutions / macros
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2288 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2289
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2290 (defun vhdl-standard-p (standard)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2291 "Check if STANDARD is specified as used standard."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2292 (or (eq standard (car vhdl-standard))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2293 (memq standard (cadr vhdl-standard))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2294
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2295 (defun vhdl-project-p (&optional warning)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2296 "Return non-nil if a project is displayed, i.e. directories or files are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2297 specified."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2298 (if (assoc vhdl-project vhdl-project-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2299 vhdl-project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2300 (when (and vhdl-project warning)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2301 (vhdl-warning-when-idle "Project does not exist: \"%s\"" vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2302 nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2303
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2304 (defun vhdl-resolve-env-variable (string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2305 "Resolve environment variables in STRING."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2306 (while (string-match "\\(.*\\)${?\\(\\(\\w\\|_\\)+\\)}?\\(.*\\)" string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2307 (setq string (concat (match-string 1 string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2308 (getenv (match-string 2 string))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2309 (match-string 4 string))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2310 string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2311
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2312 (defun vhdl-default-directory ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2313 "Return the default directory of the current project or the directory of the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2314 current buffer if no project is defined."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2315 (if (vhdl-project-p)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2316 (expand-file-name (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2317 (nth 1 (aget vhdl-project-alist vhdl-project))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2318 default-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2319
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2320 (defmacro vhdl-prepare-search-1 (&rest body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2321 "Enable case insensitive search and switch to syntax table that includes '_',
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2322 then execute BODY, and finally restore the old environment. Used for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2323 consistent searching."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2324 `(let ((case-fold-search t) ; case insensitive search
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2325 (current-syntax-table (syntax-table))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2326 result
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2327 (restore-prog ; program to restore enviroment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2328 '(progn
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2329 ;; restore syntax table
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2330 (set-syntax-table current-syntax-table))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2331 ;; use extended syntax table
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2332 (set-syntax-table vhdl-mode-ext-syntax-table)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2333 ;; execute BODY safely
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2334 (setq result
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2335 (condition-case info
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2336 (progn ,@body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2337 (error (eval restore-prog) ; restore environment on error
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2338 (error (cadr info))))) ; pass error up
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2339 ;; restore environment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2340 (eval restore-prog)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2341 result))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2342
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2343 (defmacro vhdl-prepare-search-2 (&rest body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2344 "Enable case insensitive search, switch to syntax table that includes '_',
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2345 and remove `intangible' overlays, then execute BODY, and finally restore the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2346 old environment. Used for consistent searching."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2347 `(let ((case-fold-search t) ; case insensitive search
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2348 (current-syntax-table (syntax-table))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2349 result overlay-all-list overlay-intangible-list overlay
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2350 (restore-prog ; program to restore enviroment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2351 '(progn
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2352 ;; restore syntax table
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2353 (set-syntax-table current-syntax-table)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2354 ;; restore `intangible' overlays
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2355 (when (fboundp 'overlay-lists)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2356 (while overlay-intangible-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2357 (overlay-put (car overlay-intangible-list) 'intangible t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2358 (setq overlay-intangible-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2359 (cdr overlay-intangible-list)))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2360 ;; use extended syntax table
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2361 (set-syntax-table vhdl-mode-ext-syntax-table)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2362 ;; remove `intangible' overlays
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2363 (when (fboundp 'overlay-lists)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2364 (setq overlay-all-list (overlay-lists))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2365 (setq overlay-all-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2366 (append (car overlay-all-list) (cdr overlay-all-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2367 (while overlay-all-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2368 (setq overlay (car overlay-all-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2369 (when (memq 'intangible (overlay-properties overlay))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2370 (setq overlay-intangible-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2371 (cons overlay overlay-intangible-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2372 (overlay-put overlay 'intangible nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2373 (setq overlay-all-list (cdr overlay-all-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2374 ;; execute BODY safely
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2375 (setq result
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2376 (condition-case info
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2377 (progn ,@body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2378 (error (eval restore-prog) ; restore environment on error
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2379 (error (cadr info))))) ; pass error up
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2380 ;; restore environment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2381 (eval restore-prog)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2382 result))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2383
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2384 (defmacro vhdl-visit-file (file-name issue-error &rest body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2385 "Visit file FILE-NAME and execute BODY."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2386 `(if (null ,file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2387 (progn ,@body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2388 (unless (file-directory-p ,file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2389 (let ((source-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2390 (visiting-buffer (find-buffer-visiting ,file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2391 file-opened)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2392 (when (or (and visiting-buffer (set-buffer visiting-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2393 (condition-case ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2394 (progn (set-buffer (create-file-buffer ,file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2395 (setq file-opened t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2396 (vhdl-insert-file-contents ,file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2397 (modify-syntax-entry ?\- ". 12" (syntax-table))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2398 (modify-syntax-entry ?\n ">" (syntax-table))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2399 (modify-syntax-entry ?\^M ">" (syntax-table))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2400 (modify-syntax-entry ?_ "w" (syntax-table))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2401 t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2402 (error
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2403 (if ,issue-error
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2404 (progn
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2405 (when file-opened (kill-buffer (current-buffer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2406 (set-buffer source-buffer)
63490
65b21147737a (zone-mode-update-serial): Don't use `format' on `error' arguments.
Juanma Barranquero <lekktu@gmail.com>
parents: 63456
diff changeset
2407 (error "ERROR: File cannot be opened: \"%s\"" ,file-name))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2408 (vhdl-warning (format "File cannot be opened: \"%s\"" ,file-name) t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2409 nil))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2410 (condition-case info
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2411 (progn ,@body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2412 (error
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2413 (if ,issue-error
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2414 (progn
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2415 (when file-opened (kill-buffer (current-buffer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2416 (set-buffer source-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2417 (error (cadr info)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2418 (vhdl-warning (cadr info))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2419 (when file-opened (kill-buffer (current-buffer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2420 (set-buffer source-buffer)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2421
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2422 (defun vhdl-insert-file-contents (filename)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2423 "Nicked from `insert-file-contents-literally', but allow coding system
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2424 conversion."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2425 (let ((format-alist nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2426 (after-insert-file-functions nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2427 (jka-compr-compression-info-list nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2428 (insert-file-contents filename t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2429
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2430 (defun vhdl-sort-alist (alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2431 "Sort alist."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2432 (sort alist (function (lambda (a b) (string< (car a) (car b))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2433
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2434 (defun vhdl-get-subdirs (directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2435 "Recursively get subdirectories of DIRECTORY."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2436 (let ((dir-list (list (file-name-as-directory directory)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2437 file-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2438 (setq file-list (vhdl-directory-files directory t "\\w.*"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2439 (while file-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2440 (when (file-directory-p (car file-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2441 (setq dir-list (append dir-list (vhdl-get-subdirs (car file-list)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2442 (setq file-list (cdr file-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2443 dir-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2444
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2445 (defun vhdl-aput (alist-symbol key &optional value)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2446 "As `aput', but delete key-value pair if VALUE is nil."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2447 (if value
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2448 (aput alist-symbol key value)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2449 (adelete alist-symbol key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2450
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2451 (defun vhdl-delete (elt list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2452 "Delete by side effect the first occurrence of ELT as a member of LIST."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2453 (setq list (cons nil list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2454 (let ((list1 list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2455 (while (and (cdr list1) (not (equal elt (cadr list1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2456 (setq list1 (cdr list1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2457 (when list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2458 (setcdr list1 (cddr list1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2459 (cdr list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2460
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2461 (defun vhdl-speedbar-refresh (&optional key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2462 "Refresh directory or project with name KEY."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2463 (when (and (boundp 'speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2464 (frame-live-p speedbar-frame))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2465 (let ((pos (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2466 (last-frame (selected-frame)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2467 (if (null key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2468 (speedbar-refresh)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2469 (select-frame speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2470 (when (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2471 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2472 (re-search-forward (concat "^\\([0-9]+:\\s-*<\\)->\\s-+" key "$") nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2473 (goto-char (match-end 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2474 (speedbar-do-function-pointer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2475 (backward-char 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2476 (speedbar-do-function-pointer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2477 (message "Refreshing speedbar...done"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2478 (select-frame last-frame)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2479
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2480 (defun vhdl-show-messages ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2481 "Get *Messages* buffer to show recent messages."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2482 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2483 (display-buffer (if vhdl-xemacs " *Message-Log*" "*Messages*")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2484
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2485 (defun vhdl-use-direct-instantiation ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2486 "Return whether direct instantiation is used."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2487 (or (eq vhdl-use-direct-instantiation 'always)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2488 (and (eq vhdl-use-direct-instantiation 'standard)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2489 (not (vhdl-standard-p '87)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2490
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2491 (defun vhdl-max-marker (marker1 marker2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2492 "Return larger marker."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2493 (if (> marker1 marker2) marker1 marker2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2494
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2495 (defun vhdl-goto-marker (marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2496 "Goto marker in appropriate buffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2497 (when (markerp marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2498 (set-buffer (marker-buffer marker)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2499 (goto-char marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2500
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2501 (defun vhdl-menu-split (list title)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2502 "Split menu LIST into several submenues, if number of
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2503 elements > `vhdl-menu-max-size'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2504 (if (> (length list) vhdl-menu-max-size)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2505 (let ((remain list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2506 (result '())
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2507 (sublist '())
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2508 (menuno 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2509 (i 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2510 (while remain
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2511 (setq sublist (cons (car remain) sublist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2512 (setq remain (cdr remain))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2513 (setq i (+ i 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2514 (if (= i vhdl-menu-max-size)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2515 (progn
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2516 (setq result (cons (cons (format "%s %s" title menuno)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2517 (nreverse sublist)) result))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2518 (setq i 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2519 (setq menuno (+ menuno 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2520 (setq sublist '()))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2521 (and sublist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2522 (setq result (cons (cons (format "%s %s" title menuno)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2523 (nreverse sublist)) result)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2524 (nreverse result))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2525 list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2526
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2527
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2528 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2529 ;;; Bindings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2530 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2531
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2532 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2533 ;; Key bindings
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2534
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2535 (defvar vhdl-template-map nil
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2536 "Keymap for VHDL templates.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2537
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2538 (defun vhdl-template-map-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2539 "Initialize `vhdl-template-map'."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2540 (setq vhdl-template-map (make-sparse-keymap))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2541 ;; key bindings for VHDL templates
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2542 (define-key vhdl-template-map "al" 'vhdl-template-alias)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2543 (define-key vhdl-template-map "ar" 'vhdl-template-architecture)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2544 (define-key vhdl-template-map "at" 'vhdl-template-assert)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2545 (define-key vhdl-template-map "ad" 'vhdl-template-attribute-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2546 (define-key vhdl-template-map "as" 'vhdl-template-attribute-spec)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2547 (define-key vhdl-template-map "bl" 'vhdl-template-block)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2548 (define-key vhdl-template-map "ca" 'vhdl-template-case-is)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2549 (define-key vhdl-template-map "cd" 'vhdl-template-component-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2550 (define-key vhdl-template-map "ci" 'vhdl-template-component-inst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2551 (define-key vhdl-template-map "cs" 'vhdl-template-conditional-signal-asst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2552 (define-key vhdl-template-map "Cb" 'vhdl-template-block-configuration)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2553 (define-key vhdl-template-map "Cc" 'vhdl-template-component-conf)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2554 (define-key vhdl-template-map "Cd" 'vhdl-template-configuration-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2555 (define-key vhdl-template-map "Cs" 'vhdl-template-configuration-spec)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2556 (define-key vhdl-template-map "co" 'vhdl-template-constant)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2557 (define-key vhdl-template-map "di" 'vhdl-template-disconnect)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2558 (define-key vhdl-template-map "el" 'vhdl-template-else)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2559 (define-key vhdl-template-map "ei" 'vhdl-template-elsif)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2560 (define-key vhdl-template-map "en" 'vhdl-template-entity)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2561 (define-key vhdl-template-map "ex" 'vhdl-template-exit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2562 (define-key vhdl-template-map "fi" 'vhdl-template-file)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2563 (define-key vhdl-template-map "fg" 'vhdl-template-for-generate)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2564 (define-key vhdl-template-map "fl" 'vhdl-template-for-loop)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2565 (define-key vhdl-template-map "\C-f" 'vhdl-template-footer)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2566 (define-key vhdl-template-map "fb" 'vhdl-template-function-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2567 (define-key vhdl-template-map "fd" 'vhdl-template-function-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2568 (define-key vhdl-template-map "ge" 'vhdl-template-generic)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2569 (define-key vhdl-template-map "gd" 'vhdl-template-group-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2570 (define-key vhdl-template-map "gt" 'vhdl-template-group-template)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2571 (define-key vhdl-template-map "\C-h" 'vhdl-template-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2572 (define-key vhdl-template-map "ig" 'vhdl-template-if-generate)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2573 (define-key vhdl-template-map "it" 'vhdl-template-if-then)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2574 (define-key vhdl-template-map "li" 'vhdl-template-library)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2575 (define-key vhdl-template-map "lo" 'vhdl-template-bare-loop)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2576 (define-key vhdl-template-map "\C-m" 'vhdl-template-modify)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2577 (define-key vhdl-template-map "\C-t" 'vhdl-template-insert-date)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2578 (define-key vhdl-template-map "ma" 'vhdl-template-map)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2579 (define-key vhdl-template-map "ne" 'vhdl-template-next)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2580 (define-key vhdl-template-map "ot" 'vhdl-template-others)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2581 (define-key vhdl-template-map "Pd" 'vhdl-template-package-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2582 (define-key vhdl-template-map "Pb" 'vhdl-template-package-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2583 (define-key vhdl-template-map "(" 'vhdl-template-paired-parens)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2584 (define-key vhdl-template-map "po" 'vhdl-template-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2585 (define-key vhdl-template-map "pb" 'vhdl-template-procedure-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2586 (define-key vhdl-template-map "pd" 'vhdl-template-procedure-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2587 (define-key vhdl-template-map "pc" 'vhdl-template-process-comb)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2588 (define-key vhdl-template-map "ps" 'vhdl-template-process-seq)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2589 (define-key vhdl-template-map "rp" 'vhdl-template-report)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2590 (define-key vhdl-template-map "rt" 'vhdl-template-return)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2591 (define-key vhdl-template-map "ss" 'vhdl-template-selected-signal-asst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2592 (define-key vhdl-template-map "si" 'vhdl-template-signal)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2593 (define-key vhdl-template-map "su" 'vhdl-template-subtype)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2594 (define-key vhdl-template-map "ty" 'vhdl-template-type)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2595 (define-key vhdl-template-map "us" 'vhdl-template-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2596 (define-key vhdl-template-map "va" 'vhdl-template-variable)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2597 (define-key vhdl-template-map "wa" 'vhdl-template-wait)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2598 (define-key vhdl-template-map "wl" 'vhdl-template-while-loop)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2599 (define-key vhdl-template-map "wi" 'vhdl-template-with)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2600 (define-key vhdl-template-map "wc" 'vhdl-template-clocked-wait)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2601 (define-key vhdl-template-map "\C-pb" 'vhdl-template-package-numeric-bit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2602 (define-key vhdl-template-map "\C-pn" 'vhdl-template-package-numeric-std)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2603 (define-key vhdl-template-map "\C-ps" 'vhdl-template-package-std-logic-1164)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2604 (define-key vhdl-template-map "\C-pA" 'vhdl-template-package-std-logic-arith)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2605 (define-key vhdl-template-map "\C-pM" 'vhdl-template-package-std-logic-misc)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2606 (define-key vhdl-template-map "\C-pS" 'vhdl-template-package-std-logic-signed)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2607 (define-key vhdl-template-map "\C-pT" 'vhdl-template-package-std-logic-textio)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2608 (define-key vhdl-template-map "\C-pU" 'vhdl-template-package-std-logic-unsigned)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2609 (define-key vhdl-template-map "\C-pt" 'vhdl-template-package-textio)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2610 (define-key vhdl-template-map "\C-dn" 'vhdl-template-directive-translate-on)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2611 (define-key vhdl-template-map "\C-df" 'vhdl-template-directive-translate-off)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2612 (define-key vhdl-template-map "\C-dN" 'vhdl-template-directive-synthesis-on)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2613 (define-key vhdl-template-map "\C-dF" 'vhdl-template-directive-synthesis-off)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2614 (define-key vhdl-template-map "\C-q" 'vhdl-template-search-prompt)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2615 (when (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2616 (define-key vhdl-template-map "br" 'vhdl-template-break)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2617 (define-key vhdl-template-map "cu" 'vhdl-template-case-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2618 (define-key vhdl-template-map "iu" 'vhdl-template-if-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2619 (define-key vhdl-template-map "lm" 'vhdl-template-limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2620 (define-key vhdl-template-map "na" 'vhdl-template-nature)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2621 (define-key vhdl-template-map "pa" 'vhdl-template-procedural)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2622 (define-key vhdl-template-map "qf" 'vhdl-template-quantity-free)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2623 (define-key vhdl-template-map "qb" 'vhdl-template-quantity-branch)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2624 (define-key vhdl-template-map "qs" 'vhdl-template-quantity-source)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2625 (define-key vhdl-template-map "sn" 'vhdl-template-subnature)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2626 (define-key vhdl-template-map "te" 'vhdl-template-terminal)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2627 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2628 (when (vhdl-standard-p 'math)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2629 (define-key vhdl-template-map "\C-pc" 'vhdl-template-package-math-complex)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2630 (define-key vhdl-template-map "\C-pr" 'vhdl-template-package-math-real)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2631 ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2632
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2633 ;; initialize template map for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2634 (vhdl-template-map-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2635
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2636 (defun vhdl-function-name (prefix string &optional postfix)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2637 "Generate a Lisp function name.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2638 PREFIX, STRING and optional POSTFIX are concatenated by '-' and spaces in
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2639 STRING are replaced by `-' and substrings are converted to lower case."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2640 (let ((name prefix))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2641 (while (string-match "\\(\\w+\\)\\s-*\\(.*\\)" string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2642 (setq name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2643 (concat name "-" (downcase (substring string 0 (match-end 1)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2644 (setq string (substring string (match-beginning 2))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2645 (when postfix (setq name (concat name "-" postfix)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2646 (intern name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2647
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2648 (defvar vhdl-model-map nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2649 "Keymap for VHDL models.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2650
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2651 (defun vhdl-model-map-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2652 "Initialize `vhdl-model-map'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2653 (setq vhdl-model-map (make-sparse-keymap))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2654 ;; key bindings for VHDL models
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2655 (let ((model-alist vhdl-model-alist) model)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2656 (while model-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2657 (setq model (car model-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2658 (define-key vhdl-model-map (nth 2 model)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2659 (vhdl-function-name "vhdl-model" (nth 0 model)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2660 (setq model-alist (cdr model-alist)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2661
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2662 ;; initialize user model map for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2663 (vhdl-model-map-init)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2664
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2665 (defvar vhdl-mode-map nil
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2666 "Keymap for VHDL Mode.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2667
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2668 (defun vhdl-mode-map-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2669 "Initialize `vhdl-mode-map'."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2670 (setq vhdl-mode-map (make-sparse-keymap))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2671 ;; template key bindings
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2672 (define-key vhdl-mode-map "\C-c\C-t" vhdl-template-map)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2673 ;; model key bindings
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2674 (define-key vhdl-mode-map "\C-c\C-m" vhdl-model-map)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2675 ;; standard key bindings
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2676 (define-key vhdl-mode-map "\M-a" 'vhdl-beginning-of-statement)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2677 (define-key vhdl-mode-map "\M-e" 'vhdl-end-of-statement)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2678 (define-key vhdl-mode-map "\M-\C-f" 'vhdl-forward-sexp)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2679 (define-key vhdl-mode-map "\M-\C-b" 'vhdl-backward-sexp)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2680 (define-key vhdl-mode-map "\M-\C-u" 'vhdl-backward-up-list)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2681 (define-key vhdl-mode-map "\M-\C-a" 'vhdl-backward-same-indent)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2682 (define-key vhdl-mode-map "\M-\C-e" 'vhdl-forward-same-indent)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2683 (unless vhdl-xemacs ; would override `M-backspace' in XEmacs
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2684 (define-key vhdl-mode-map "\M-\C-h" 'vhdl-mark-defun))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2685 (define-key vhdl-mode-map "\M-\C-q" 'vhdl-indent-sexp)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2686 (define-key vhdl-mode-map "\M-^" 'vhdl-delete-indentation)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2687 ;; backspace/delete key bindings
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2688 (define-key vhdl-mode-map [backspace] 'backward-delete-char-untabify)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2689 (unless (boundp 'delete-key-deletes-forward) ; XEmacs variable
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2690 (define-key vhdl-mode-map [delete] 'delete-char)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2691 (define-key vhdl-mode-map [(meta delete)] 'kill-word))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2692 ;; mode specific key bindings
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2693 (define-key vhdl-mode-map "\C-c\C-m\C-e" 'vhdl-electric-mode)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2694 (define-key vhdl-mode-map "\C-c\C-m\C-s" 'vhdl-stutter-mode)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2695 (define-key vhdl-mode-map "\C-c\C-s\C-p" 'vhdl-set-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2696 (define-key vhdl-mode-map "\C-c\C-p\C-d" 'vhdl-duplicate-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2697 (define-key vhdl-mode-map "\C-c\C-p\C-m" 'vhdl-import-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2698 (define-key vhdl-mode-map "\C-c\C-p\C-x" 'vhdl-export-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2699 (define-key vhdl-mode-map "\C-c\C-s\C-k" 'vhdl-set-compiler)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2700 (define-key vhdl-mode-map "\C-c\C-k" 'vhdl-compile)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2701 (define-key vhdl-mode-map "\C-c\M-\C-k" 'vhdl-make)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2702 (define-key vhdl-mode-map "\C-c\M-k" 'vhdl-generate-makefile)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2703 (define-key vhdl-mode-map "\C-c\C-p\C-w" 'vhdl-port-copy)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2704 (define-key vhdl-mode-map "\C-c\C-p\M-w" 'vhdl-port-copy)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2705 (define-key vhdl-mode-map "\C-c\C-p\C-e" 'vhdl-port-paste-entity)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2706 (define-key vhdl-mode-map "\C-c\C-p\C-c" 'vhdl-port-paste-component)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2707 (define-key vhdl-mode-map "\C-c\C-p\C-i" 'vhdl-port-paste-instance)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2708 (define-key vhdl-mode-map "\C-c\C-p\C-s" 'vhdl-port-paste-signals)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2709 (define-key vhdl-mode-map "\C-c\C-p\M-c" 'vhdl-port-paste-constants)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2710 (if vhdl-xemacs ; `... C-g' not allowed in XEmacs
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2711 (define-key vhdl-mode-map "\C-c\C-p\M-g" 'vhdl-port-paste-generic-map)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2712 (define-key vhdl-mode-map "\C-c\C-p\C-g" 'vhdl-port-paste-generic-map))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2713 (define-key vhdl-mode-map "\C-c\C-p\C-z" 'vhdl-port-paste-initializations)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2714 (define-key vhdl-mode-map "\C-c\C-p\C-t" 'vhdl-port-paste-testbench)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2715 (define-key vhdl-mode-map "\C-c\C-p\C-f" 'vhdl-port-flatten)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2716 (define-key vhdl-mode-map "\C-c\C-p\C-r" 'vhdl-port-reverse-direction)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2717 (define-key vhdl-mode-map "\C-c\C-s\C-w" 'vhdl-subprog-copy)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2718 (define-key vhdl-mode-map "\C-c\C-s\M-w" 'vhdl-subprog-copy)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2719 (define-key vhdl-mode-map "\C-c\C-s\C-d" 'vhdl-subprog-paste-declaration)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2720 (define-key vhdl-mode-map "\C-c\C-s\C-b" 'vhdl-subprog-paste-body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2721 (define-key vhdl-mode-map "\C-c\C-s\C-c" 'vhdl-subprog-paste-call)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2722 (define-key vhdl-mode-map "\C-c\C-s\C-f" 'vhdl-subprog-flatten)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2723 (define-key vhdl-mode-map "\C-c\C-c\C-n" 'vhdl-compose-new-component)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2724 (define-key vhdl-mode-map "\C-c\C-c\C-p" 'vhdl-compose-place-component)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2725 (define-key vhdl-mode-map "\C-c\C-c\C-w" 'vhdl-compose-wire-components)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2726 (define-key vhdl-mode-map "\C-c\C-c\C-f" 'vhdl-compose-configuration)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2727 (define-key vhdl-mode-map "\C-c\C-c\C-k" 'vhdl-compose-components-package)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2728 (define-key vhdl-mode-map "\C-cc" 'vhdl-comment-uncomment-region)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2729 (define-key vhdl-mode-map "\C-c-" 'vhdl-comment-append-inline)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2730 (define-key vhdl-mode-map "\C-c\M--" 'vhdl-comment-display-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2731 (define-key vhdl-mode-map "\C-c\C-i\C-l" 'indent-according-to-mode)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2732 (define-key vhdl-mode-map "\C-c\C-i\C-g" 'vhdl-indent-group)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2733 (define-key vhdl-mode-map "\M-\C-\\" 'vhdl-indent-region)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2734 (define-key vhdl-mode-map "\C-c\C-i\C-b" 'vhdl-indent-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2735 (define-key vhdl-mode-map "\C-c\C-a\C-g" 'vhdl-align-group)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2736 (define-key vhdl-mode-map "\C-c\C-a\C-a" 'vhdl-align-group)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2737 (define-key vhdl-mode-map "\C-c\C-a\C-i" 'vhdl-align-same-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2738 (define-key vhdl-mode-map "\C-c\C-a\C-l" 'vhdl-align-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2739 (define-key vhdl-mode-map "\C-c\C-a\C-d" 'vhdl-align-declarations)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2740 (define-key vhdl-mode-map "\C-c\C-a\M-a" 'vhdl-align-region)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2741 (define-key vhdl-mode-map "\C-c\C-a\C-b" 'vhdl-align-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2742 (define-key vhdl-mode-map "\C-c\C-a\C-c" 'vhdl-align-inline-comment-group)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2743 (define-key vhdl-mode-map "\C-c\C-a\M-c" 'vhdl-align-inline-comment-region)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2744 (define-key vhdl-mode-map "\C-c\C-f\C-l" 'vhdl-fill-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2745 (define-key vhdl-mode-map "\C-c\C-f\C-f" 'vhdl-fill-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2746 (define-key vhdl-mode-map "\C-c\C-f\C-g" 'vhdl-fill-group)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2747 (define-key vhdl-mode-map "\C-c\C-f\C-i" 'vhdl-fill-same-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2748 (define-key vhdl-mode-map "\C-c\C-f\M-f" 'vhdl-fill-region)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2749 (define-key vhdl-mode-map "\C-c\C-l\C-w" 'vhdl-line-kill)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2750 (define-key vhdl-mode-map "\C-c\C-l\M-w" 'vhdl-line-copy)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2751 (define-key vhdl-mode-map "\C-c\C-l\C-y" 'vhdl-line-yank)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2752 (define-key vhdl-mode-map "\C-c\C-l\t" 'vhdl-line-expand)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2753 (define-key vhdl-mode-map "\C-c\C-l\C-n" 'vhdl-line-transpose-next)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2754 (define-key vhdl-mode-map "\C-c\C-l\C-p" 'vhdl-line-transpose-previous)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2755 (define-key vhdl-mode-map "\C-c\C-l\C-o" 'vhdl-line-open)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2756 (define-key vhdl-mode-map "\C-c\C-l\C-g" 'goto-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2757 (define-key vhdl-mode-map "\C-c\C-l\C-c" 'vhdl-comment-uncomment-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2758 (define-key vhdl-mode-map "\C-c\C-x\C-p" 'vhdl-fix-clause)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2759 (define-key vhdl-mode-map "\C-c\C-x\M-c" 'vhdl-fix-case-region)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2760 (define-key vhdl-mode-map "\C-c\C-x\C-c" 'vhdl-fix-case-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2761 (define-key vhdl-mode-map "\C-c\C-x\M-w" 'vhdl-fixup-whitespace-region)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2762 (define-key vhdl-mode-map "\C-c\C-x\C-w" 'vhdl-fixup-whitespace-buffer)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2763 (define-key vhdl-mode-map "\C-c\M-b" 'vhdl-beautify-region)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2764 (define-key vhdl-mode-map "\C-c\C-b" 'vhdl-beautify-buffer)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2765 (define-key vhdl-mode-map "\C-c\C-u\C-s" 'vhdl-update-sensitivity-list-process)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2766 (define-key vhdl-mode-map "\C-c\C-u\M-s" 'vhdl-update-sensitivity-list-buffer)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2767 (define-key vhdl-mode-map "\C-cf" 'vhdl-fontify-buffer)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2768 (define-key vhdl-mode-map "\C-cs" 'vhdl-statistics-buffer)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2769 (define-key vhdl-mode-map "\C-c\M-m" 'vhdl-show-messages)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2770 (define-key vhdl-mode-map "\C-c\C-h" 'vhdl-doc-mode)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2771 (define-key vhdl-mode-map "\C-c\C-v" 'vhdl-version)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2772 (define-key vhdl-mode-map "\M-\t" 'insert-tab)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2773 ;; insert commands bindings
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2774 (define-key vhdl-mode-map "\C-c\C-i\C-t" 'vhdl-template-insert-construct)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2775 (define-key vhdl-mode-map "\C-c\C-i\C-p" 'vhdl-template-insert-package)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2776 (define-key vhdl-mode-map "\C-c\C-i\C-d" 'vhdl-template-insert-directive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2777 (define-key vhdl-mode-map "\C-c\C-i\C-m" 'vhdl-model-insert)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2778 ;; electric key bindings
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2779 (define-key vhdl-mode-map " " 'vhdl-electric-space)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2780 (when vhdl-intelligent-tab
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2781 (define-key vhdl-mode-map "\t" 'vhdl-electric-tab))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2782 (define-key vhdl-mode-map "\r" 'vhdl-electric-return)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2783 (define-key vhdl-mode-map "-" 'vhdl-electric-dash)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2784 (define-key vhdl-mode-map "[" 'vhdl-electric-open-bracket)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2785 (define-key vhdl-mode-map "]" 'vhdl-electric-close-bracket)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2786 (define-key vhdl-mode-map "'" 'vhdl-electric-quote)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2787 (define-key vhdl-mode-map ";" 'vhdl-electric-semicolon)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2788 (define-key vhdl-mode-map "," 'vhdl-electric-comma)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2789 (define-key vhdl-mode-map "." 'vhdl-electric-period)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2790 (when (vhdl-standard-p 'ams)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2791 (define-key vhdl-mode-map "=" 'vhdl-electric-equal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2792
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2793 ;; initialize mode map for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2794 (vhdl-mode-map-init)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2795
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2796 ;; define special minibuffer keymap for enabling word completion in minibuffer
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2797 ;; (useful in template generator prompts)
61431
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
2798 (defvar vhdl-minibuffer-local-map
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
2799 (let ((map (make-sparse-keymap)))
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
2800 (set-keymap-parent map minibuffer-local-map)
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
2801 (when vhdl-word-completion-in-minibuffer
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
2802 (define-key map "\t" 'vhdl-minibuffer-tab))
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
2803 map)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2804 "Keymap for minibuffer used in VHDL Mode.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2805
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2806 ;; set up electric character functions to work with
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2807 ;; `delete-selection-mode' (Emacs) and `pending-delete-mode' (XEmacs)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2808 (mapcar
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2809 (function
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2810 (lambda (sym)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2811 (put sym 'delete-selection t) ; for `delete-selection-mode' (Emacs)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2812 (put sym 'pending-delete t))) ; for `pending-delete-mode' (XEmacs)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2813 '(vhdl-electric-space
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2814 vhdl-electric-tab
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2815 vhdl-electric-return
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2816 vhdl-electric-dash
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2817 vhdl-electric-open-bracket
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2818 vhdl-electric-close-bracket
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2819 vhdl-electric-quote
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2820 vhdl-electric-semicolon
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2821 vhdl-electric-comma
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2822 vhdl-electric-period
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2823 vhdl-electric-equal))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2824
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2825 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2826 ;; Syntax table
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2827
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2828 (defvar vhdl-mode-syntax-table nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2829 "Syntax table used in `vhdl-mode' buffers.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2830
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2831 (defvar vhdl-mode-ext-syntax-table nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2832 "Syntax table extended by `_' used in `vhdl-mode' buffers.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2833
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2834 (defun vhdl-mode-syntax-table-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2835 "Initialize `vhdl-mode-syntax-table'."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2836 (setq vhdl-mode-syntax-table (make-syntax-table))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2837 ;; define punctuation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2838 (modify-syntax-entry ?\# "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2839 (modify-syntax-entry ?\$ "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2840 (modify-syntax-entry ?\% "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2841 (modify-syntax-entry ?\& "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2842 (modify-syntax-entry ?\' "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2843 (modify-syntax-entry ?\* "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2844 (modify-syntax-entry ?\+ "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2845 (modify-syntax-entry ?\. "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2846 (modify-syntax-entry ?\/ "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2847 (modify-syntax-entry ?\: "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2848 (modify-syntax-entry ?\; "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2849 (modify-syntax-entry ?\< "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2850 (modify-syntax-entry ?\= "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2851 (modify-syntax-entry ?\> "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2852 (modify-syntax-entry ?\\ "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2853 (modify-syntax-entry ?\| "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2854 ;; define string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2855 (modify-syntax-entry ?\" "\"" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2856 ;; define underscore
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2857 (when vhdl-underscore-is-part-of-word
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2858 (modify-syntax-entry ?\_ "w" vhdl-mode-syntax-table))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2859 ;; a single hyphen is punctuation, but a double hyphen starts a comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2860 (modify-syntax-entry ?\- ". 12" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2861 ;; and \n and \^M end a comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2862 (modify-syntax-entry ?\n ">" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2863 (modify-syntax-entry ?\^M ">" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2864 ;; define parentheses to match
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2865 (modify-syntax-entry ?\( "()" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2866 (modify-syntax-entry ?\) ")(" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2867 (modify-syntax-entry ?\[ "(]" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2868 (modify-syntax-entry ?\] ")[" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2869 (modify-syntax-entry ?\{ "(}" vhdl-mode-syntax-table)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2870 (modify-syntax-entry ?\} "){" vhdl-mode-syntax-table)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2871 ;; extended syntax table including '_' (for simpler search regexps)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2872 (setq vhdl-mode-ext-syntax-table (copy-syntax-table vhdl-mode-syntax-table))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2873 (modify-syntax-entry ?_ "w" vhdl-mode-ext-syntax-table))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2874
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2875 ;; initialize syntax table for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2876 (vhdl-mode-syntax-table-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2877
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2878 (defvar vhdl-syntactic-context nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2879 "Buffer local variable containing syntactic analysis list.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2880 (make-variable-buffer-local 'vhdl-syntactic-context)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2881
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2882 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
2883 ;; Abbrev ook bindings
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2884
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2885 (defvar vhdl-mode-abbrev-table nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2886 "Abbrev table to use in `vhdl-mode' buffers.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2887
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2888 (defun vhdl-mode-abbrev-table-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2889 "Initialize `vhdl-mode-abbrev-table'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2890 (when vhdl-mode-abbrev-table (clear-abbrev-table vhdl-mode-abbrev-table))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2891 (define-abbrev-table 'vhdl-mode-abbrev-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2892 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2893 (when (memq 'vhdl vhdl-electric-keywords)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2894 ;; VHDL'93 keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2895 '(
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2896 ("--" "" vhdl-template-display-comment-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2897 ("abs" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2898 ("access" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2899 ("after" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2900 ("alias" "" vhdl-template-alias-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2901 ("all" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2902 ("and" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2903 ("arch" "" vhdl-template-architecture-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2904 ("architecture" "" vhdl-template-architecture-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2905 ("array" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2906 ("assert" "" vhdl-template-assert-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2907 ("attr" "" vhdl-template-attribute-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2908 ("attribute" "" vhdl-template-attribute-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2909 ("begin" "" vhdl-template-default-indent-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2910 ("block" "" vhdl-template-block-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2911 ("body" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2912 ("buffer" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2913 ("bus" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2914 ("case" "" vhdl-template-case-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2915 ("comp" "" vhdl-template-component-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2916 ("component" "" vhdl-template-component-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2917 ("cond" "" vhdl-template-conditional-signal-asst-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2918 ("conditional" "" vhdl-template-conditional-signal-asst-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2919 ("conf" "" vhdl-template-configuration-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2920 ("configuration" "" vhdl-template-configuration-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2921 ("cons" "" vhdl-template-constant-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2922 ("constant" "" vhdl-template-constant-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2923 ("disconnect" "" vhdl-template-disconnect-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2924 ("downto" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2925 ("else" "" vhdl-template-else-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2926 ("elseif" "" vhdl-template-elsif-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2927 ("elsif" "" vhdl-template-elsif-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2928 ("end" "" vhdl-template-default-indent-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2929 ("entity" "" vhdl-template-entity-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2930 ("exit" "" vhdl-template-exit-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2931 ("file" "" vhdl-template-file-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2932 ("for" "" vhdl-template-for-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2933 ("func" "" vhdl-template-function-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2934 ("function" "" vhdl-template-function-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2935 ("generic" "" vhdl-template-generic-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2936 ("group" "" vhdl-template-group-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2937 ("guarded" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2938 ("if" "" vhdl-template-if-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2939 ("impure" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2940 ("in" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2941 ("inertial" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2942 ("inout" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2943 ("inst" "" vhdl-template-instance-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2944 ("instance" "" vhdl-template-instance-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2945 ("is" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2946 ("label" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2947 ("library" "" vhdl-template-library-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2948 ("linkage" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2949 ("literal" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2950 ("loop" "" vhdl-template-bare-loop-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2951 ("map" "" vhdl-template-map-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2952 ("mod" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2953 ("nand" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2954 ("new" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2955 ("next" "" vhdl-template-next-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2956 ("nor" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2957 ("not" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2958 ("null" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2959 ("of" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2960 ("on" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2961 ("open" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2962 ("or" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2963 ("others" "" vhdl-template-others-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2964 ("out" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2965 ("pack" "" vhdl-template-package-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2966 ("package" "" vhdl-template-package-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2967 ("port" "" vhdl-template-port-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2968 ("postponed" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2969 ("procedure" "" vhdl-template-procedure-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2970 ("process" "" vhdl-template-process-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2971 ("pure" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2972 ("range" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2973 ("record" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2974 ("register" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2975 ("reject" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2976 ("rem" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2977 ("report" "" vhdl-template-report-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2978 ("return" "" vhdl-template-return-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2979 ("rol" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2980 ("ror" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2981 ("select" "" vhdl-template-selected-signal-asst-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2982 ("severity" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2983 ("shared" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2984 ("sig" "" vhdl-template-signal-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2985 ("signal" "" vhdl-template-signal-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2986 ("sla" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2987 ("sll" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2988 ("sra" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2989 ("srl" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2990 ("subtype" "" vhdl-template-subtype-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2991 ("then" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2992 ("to" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2993 ("transport" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2994 ("type" "" vhdl-template-type-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2995 ("unaffected" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2996 ("units" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2997 ("until" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2998 ("use" "" vhdl-template-use-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
2999 ("var" "" vhdl-template-variable-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3000 ("variable" "" vhdl-template-variable-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3001 ("wait" "" vhdl-template-wait-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3002 ("when" "" vhdl-template-when-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3003 ("while" "" vhdl-template-while-loop-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3004 ("with" "" vhdl-template-with-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3005 ("xnor" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3006 ("xor" "" vhdl-template-default-hook 0)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3007 ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3008 ;; VHDL-AMS keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3009 (when (and (memq 'vhdl vhdl-electric-keywords) (vhdl-standard-p 'ams))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3010 '(
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3011 ("across" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3012 ("break" "" vhdl-template-break-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3013 ("limit" "" vhdl-template-limit-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3014 ("nature" "" vhdl-template-nature-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3015 ("noise" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3016 ("procedural" "" vhdl-template-procedural-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3017 ("quantity" "" vhdl-template-quantity-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3018 ("reference" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3019 ("spectrum" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3020 ("subnature" "" vhdl-template-subnature-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3021 ("terminal" "" vhdl-template-terminal-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3022 ("through" "" vhdl-template-default-hook 0)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3023 ("tolerance" "" vhdl-template-default-hook 0)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3024 ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3025 ;; user model keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3026 (when (memq 'user vhdl-electric-keywords)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3027 (let ((alist vhdl-model-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3028 abbrev-list keyword)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3029 (while alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3030 (setq keyword (nth 3 (car alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3031 (unless (equal keyword "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3032 (setq abbrev-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3033 (cons (list keyword ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3034 (vhdl-function-name
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3035 "vhdl-model" (nth 0 (car alist)) "hook") 0)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3036 abbrev-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3037 (setq alist (cdr alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3038 abbrev-list)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3039
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3040 ;; initialize abbrev table for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3041 (vhdl-mode-abbrev-table-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3042
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3043 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3044 ;; Template completion lists
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3045
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3046 (defvar vhdl-template-construct-alist nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3047 "List of built-in construct templates.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3048
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3049 (defun vhdl-template-construct-alist-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3050 "Initialize `vhdl-template-construct-alist'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3051 (setq
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3052 vhdl-template-construct-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3053 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3054 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3055 ("alias declaration" vhdl-template-alias)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3056 ("architecture body" vhdl-template-architecture)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3057 ("assertion" vhdl-template-assert)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3058 ("attribute declaration" vhdl-template-attribute-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3059 ("attribute specification" vhdl-template-attribute-spec)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3060 ("block configuration" vhdl-template-block-configuration)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3061 ("block statement" vhdl-template-block)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3062 ("case statement" vhdl-template-case-is)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3063 ("component configuration" vhdl-template-component-conf)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3064 ("component declaration" vhdl-template-component-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3065 ("component instantiation statement" vhdl-template-component-inst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3066 ("conditional signal assignment" vhdl-template-conditional-signal-asst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3067 ("configuration declaration" vhdl-template-configuration-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3068 ("configuration specification" vhdl-template-configuration-spec)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3069 ("constant declaration" vhdl-template-constant)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3070 ("disconnection specification" vhdl-template-disconnect)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3071 ("entity declaration" vhdl-template-entity)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3072 ("exit statement" vhdl-template-exit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3073 ("file declaration" vhdl-template-file)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3074 ("generate statement" vhdl-template-generate)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3075 ("generic clause" vhdl-template-generic)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3076 ("group declaration" vhdl-template-group-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3077 ("group template declaration" vhdl-template-group-template)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3078 ("if statement" vhdl-template-if-then)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3079 ("library clause" vhdl-template-library)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3080 ("loop statement" vhdl-template-loop)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3081 ("next statement" vhdl-template-next)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3082 ("package declaration" vhdl-template-package-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3083 ("package body" vhdl-template-package-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3084 ("port clause" vhdl-template-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3085 ("process statement" vhdl-template-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3086 ("report statement" vhdl-template-report)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3087 ("return statement" vhdl-template-return)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3088 ("selected signal assignment" vhdl-template-selected-signal-asst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3089 ("signal declaration" vhdl-template-signal)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3090 ("subprogram declaration" vhdl-template-subprogram-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3091 ("subprogram body" vhdl-template-subprogram-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3092 ("subtype declaration" vhdl-template-subtype)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3093 ("type declaration" vhdl-template-type)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3094 ("use clause" vhdl-template-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3095 ("variable declaration" vhdl-template-variable)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3096 ("wait statement" vhdl-template-wait)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3097 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3098 (when (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3099 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3100 ("break statement" vhdl-template-break)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3101 ("nature declaration" vhdl-template-nature)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3102 ("quantity declaration" vhdl-template-quantity)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3103 ("simultaneous case statement" vhdl-template-case-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3104 ("simultaneous if statement" vhdl-template-if-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3105 ("simultaneous procedural statement" vhdl-template-procedural)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3106 ("step limit specification" vhdl-template-limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3107 ("subnature declaration" vhdl-template-subnature)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3108 ("terminal declaration" vhdl-template-terminal)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3109 )))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3110
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3111 ;; initialize for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3112 (vhdl-template-construct-alist-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3113
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3114 (defvar vhdl-template-package-alist nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3115 "List of built-in package templates.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3116
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3117 (defun vhdl-template-package-alist-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3118 "Initialize `vhdl-template-package-alist'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3119 (setq
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3120 vhdl-template-package-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3121 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3122 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3123 ("numeric_bit" vhdl-template-package-numeric-bit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3124 ("numeric_std" vhdl-template-package-numeric-std)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3125 ("std_logic_1164" vhdl-template-package-std-logic-1164)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3126 ("std_logic_arith" vhdl-template-package-std-logic-arith)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3127 ("std_logic_misc" vhdl-template-package-std-logic-misc)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3128 ("std_logic_signed" vhdl-template-package-std-logic-signed)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3129 ("std_logic_textio" vhdl-template-package-std-logic-textio)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3130 ("std_logic_unsigned" vhdl-template-package-std-logic-unsigned)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3131 ("textio" vhdl-template-package-textio)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3132 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3133 (when (vhdl-standard-p 'math)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3134 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3135 ("math_complex" vhdl-template-package-math-complex)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3136 ("math_real" vhdl-template-package-math-real)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3137 )))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3138
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3139 ;; initialize for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3140 (vhdl-template-package-alist-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3141
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3142 (defvar vhdl-template-directive-alist
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3143 '(
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3144 ("translate_on" vhdl-template-directive-translate-on)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3145 ("translate_off" vhdl-template-directive-translate-off)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3146 ("synthesis_on" vhdl-template-directive-synthesis-on)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3147 ("synthesis_off" vhdl-template-directive-synthesis-off)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3148 )
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3149 "List of built-in directive templates.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3150
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3151
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3152 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3153 ;;; Menues
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3154 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3155
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3156 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3157 ;; VHDL menu (using `easy-menu.el')
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3158
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3159 (defun vhdl-customize ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3160 "Call the customize function with `vhdl' as argument."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3161 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3162 (customize-browse 'vhdl))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3163
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3164 (defun vhdl-create-mode-menu ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3165 "Create VHDL Mode menu."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3166 `("VHDL"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3167 ,(append
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3168 '("Project"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3169 ["None" (vhdl-set-project "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3170 :style radio :selected (null vhdl-project)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3171 "--")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3172 ;; add menu entries for defined projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3173 (let ((project-alist vhdl-project-alist) menu-list name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3174 (while project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3175 (setq name (caar project-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3176 (setq menu-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3177 (cons `[,name (vhdl-set-project ,name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3178 :style radio :selected (equal ,name vhdl-project)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3179 menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3180 (setq project-alist (cdr project-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3181 (setq menu-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3182 (if vhdl-project-sort
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3183 (sort menu-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3184 (function (lambda (a b) (string< (elt a 0) (elt b 0)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3185 (nreverse menu-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3186 (vhdl-menu-split menu-list "Project"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3187 '("--" "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3188 ["Select Project..." vhdl-set-project t]
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3189 ["Set As Default Project" vhdl-set-default-project t]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3190 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3191 ["Duplicate Project" vhdl-duplicate-project vhdl-project]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3192 ["Import Project..." vhdl-import-project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3193 :keys "C-c C-p C-m" :active t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3194 ["Export Project" vhdl-export-project vhdl-project]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3195 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3196 ["Customize Project..." (customize-option 'vhdl-project-alist) t]))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3197 "--"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3198 ("Compile"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3199 ["Compile Buffer" vhdl-compile t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3200 ["Stop Compilation" kill-compilation t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3201 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3202 ["Make" vhdl-make t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3203 ["Generate Makefile" vhdl-generate-makefile t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3204 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3205 ["Next Error" next-error t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3206 ["Previous Error" previous-error t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3207 ["First Error" first-error t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3208 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3209 ,(append
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3210 '("Compiler")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3211 ;; add menu entries for defined compilers
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3212 (let ((comp-alist vhdl-compiler-alist) menu-list name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3213 (while comp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3214 (setq name (caar comp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3215 (setq menu-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3216 (cons `[,name (setq vhdl-compiler ,name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3217 :style radio :selected (equal ,name vhdl-compiler)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3218 menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3219 (setq comp-alist (cdr comp-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3220 (setq menu-list (nreverse menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3221 (vhdl-menu-split menu-list "Compiler"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3222 '("--" "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3223 ["Select Compiler..." vhdl-set-compiler t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3224 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3225 ["Customize Compiler..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3226 (customize-option 'vhdl-compiler-alist) t])))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3227 "--"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3228 ,(append
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3229 '("Template"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3230 ("VHDL Construct 1"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3231 ["Alias" vhdl-template-alias t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3232 ["Architecture" vhdl-template-architecture t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3233 ["Assert" vhdl-template-assert t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3234 ["Attribute (Decl)" vhdl-template-attribute-decl t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3235 ["Attribute (Spec)" vhdl-template-attribute-spec t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3236 ["Block" vhdl-template-block t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3237 ["Case" vhdl-template-case-is t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3238 ["Component (Decl)" vhdl-template-component-decl t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3239 ["(Component) Instance" vhdl-template-component-inst t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3240 ["Conditional (Signal Asst)" vhdl-template-conditional-signal-asst t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3241 ["Configuration (Block)" vhdl-template-block-configuration t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3242 ["Configuration (Comp)" vhdl-template-component-conf t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3243 ["Configuration (Decl)" vhdl-template-configuration-decl t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3244 ["Configuration (Spec)" vhdl-template-configuration-spec t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3245 ["Constant" vhdl-template-constant t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3246 ["Disconnect" vhdl-template-disconnect t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3247 ["Else" vhdl-template-else t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3248 ["Elsif" vhdl-template-elsif t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3249 ["Entity" vhdl-template-entity t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3250 ["Exit" vhdl-template-exit t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3251 ["File" vhdl-template-file t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3252 ["For (Generate)" vhdl-template-for-generate t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3253 ["For (Loop)" vhdl-template-for-loop t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3254 ["Function (Body)" vhdl-template-function-body t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3255 ["Function (Decl)" vhdl-template-function-decl t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3256 ["Generic" vhdl-template-generic t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3257 ["Group (Decl)" vhdl-template-group-decl t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3258 ["Group (Template)" vhdl-template-group-template t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3259 ("VHDL Construct 2"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3260 ["If (Generate)" vhdl-template-if-generate t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3261 ["If (Then)" vhdl-template-if-then t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3262 ["Library" vhdl-template-library t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3263 ["Loop" vhdl-template-bare-loop t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3264 ["Map" vhdl-template-map t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3265 ["Next" vhdl-template-next t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3266 ["Others (Aggregate)" vhdl-template-others t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3267 ["Package (Decl)" vhdl-template-package-decl t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3268 ["Package (Body)" vhdl-template-package-body t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3269 ["Port" vhdl-template-port t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3270 ["Procedure (Body)" vhdl-template-procedure-body t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3271 ["Procedure (Decl)" vhdl-template-procedure-decl t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3272 ["Process (Comb)" vhdl-template-process-comb t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3273 ["Process (Seq)" vhdl-template-process-seq t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3274 ["Report" vhdl-template-report t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3275 ["Return" vhdl-template-return t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3276 ["Select" vhdl-template-selected-signal-asst t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3277 ["Signal" vhdl-template-signal t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3278 ["Subtype" vhdl-template-subtype t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3279 ["Type" vhdl-template-type t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3280 ["Use" vhdl-template-use t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3281 ["Variable" vhdl-template-variable t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3282 ["Wait" vhdl-template-wait t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3283 ["(Clocked Wait)" vhdl-template-clocked-wait t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3284 ["When" vhdl-template-when t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3285 ["While (Loop)" vhdl-template-while-loop t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3286 ["With" vhdl-template-with t]))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3287 (when (vhdl-standard-p 'ams)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3288 '(("VHDL-AMS Construct"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3289 ["Break" vhdl-template-break t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3290 ["Case (Use)" vhdl-template-case-use t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3291 ["If (Use)" vhdl-template-if-use t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3292 ["Limit" vhdl-template-limit t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3293 ["Nature" vhdl-template-nature t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3294 ["Procedural" vhdl-template-procedural t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3295 ["Quantity (Free)" vhdl-template-quantity-free t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3296 ["Quantity (Branch)" vhdl-template-quantity-branch t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3297 ["Quantity (Source)" vhdl-template-quantity-source t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3298 ["Subnature" vhdl-template-subnature t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3299 ["Terminal" vhdl-template-terminal t])))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3300 '(["Insert Construct..." vhdl-template-insert-construct
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3301 :keys "C-c C-i C-t"]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3302 "--")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3303 (list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3304 (append
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3305 '("Package")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3306 (when (vhdl-standard-p 'math)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3307 '(["math_complex" vhdl-template-package-math-complex t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3308 ["math_real" vhdl-template-package-math-real t]))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3309 '(["numeric_bit" vhdl-template-package-numeric-bit t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3310 ["numeric_std" vhdl-template-package-numeric-std t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3311 ["std_logic_1164" vhdl-template-package-std-logic-1164 t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3312 ["textio" vhdl-template-package-textio t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3313 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3314 ["std_logic_arith" vhdl-template-package-std-logic-arith t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3315 ["std_logic_signed" vhdl-template-package-std-logic-signed t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3316 ["std_logic_unsigned" vhdl-template-package-std-logic-unsigned t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3317 ["std_logic_misc" vhdl-template-package-std-logic-misc t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3318 ["std_logic_textio" vhdl-template-package-std-logic-textio t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3319 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3320 ["Insert Package..." vhdl-template-insert-package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3321 :keys "C-c C-i C-p"])))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3322 '(("Directive"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3323 ["translate_on" vhdl-template-directive-translate-on t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3324 ["translate_off" vhdl-template-directive-translate-off t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3325 ["synthesis_on" vhdl-template-directive-synthesis-on t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3326 ["synthesis_off" vhdl-template-directive-synthesis-off t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3327 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3328 ["Insert Directive..." vhdl-template-insert-directive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3329 :keys "C-c C-i C-d"])
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3330 "--"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3331 ["Insert Header" vhdl-template-header :keys "C-c C-t C-h"]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3332 ["Insert Footer" vhdl-template-footer t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3333 ["Insert Date" vhdl-template-insert-date t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3334 ["Modify Date" vhdl-template-modify :keys "C-c C-t C-m"]
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3335 "--"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3336 ["Query Next Prompt" vhdl-template-search-prompt t]))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3337 ,(append
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3338 '("Model")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3339 ;; add menu entries for defined models
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3340 (let ((model-alist vhdl-model-alist) menu-list model)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3341 (while model-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3342 (setq model (car model-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3343 (setq menu-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3344 (cons
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3345 (vector
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3346 (nth 0 model)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3347 (vhdl-function-name "vhdl-model" (nth 0 model))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3348 :keys (concat "C-c C-m " (key-description (nth 2 model))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3349 menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3350 (setq model-alist (cdr model-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3351 (setq menu-list (nreverse menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3352 (vhdl-menu-split menu-list "Model"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3353 '("--" "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3354 ["Insert Model..." vhdl-model-insert :keys "C-c C-i C-m"]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3355 ["Customize Model..." (customize-option 'vhdl-model-alist) t]))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3356 ("Port"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3357 ["Copy" vhdl-port-copy t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3358 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3359 ["Paste As Entity" vhdl-port-paste-entity vhdl-port-list]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3360 ["Paste As Component" vhdl-port-paste-component vhdl-port-list]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3361 ["Paste As Instance" vhdl-port-paste-instance
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3362 :keys "C-c C-p C-i" :active vhdl-port-list]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3363 ["Paste As Signals" vhdl-port-paste-signals vhdl-port-list]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3364 ["Paste As Constants" vhdl-port-paste-constants vhdl-port-list]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3365 ["Paste As Generic Map" vhdl-port-paste-generic-map vhdl-port-list]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3366 ["Paste As Initializations" vhdl-port-paste-initializations vhdl-port-list]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3367 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3368 ["Paste As Testbench" vhdl-port-paste-testbench vhdl-port-list]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3369 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3370 ["Flatten" vhdl-port-flatten
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3371 :style toggle :selected vhdl-port-flattened :active vhdl-port-list]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3372 ["Reverse Direction" vhdl-port-reverse-direction
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3373 :style toggle :selected vhdl-port-reversed-direction :active vhdl-port-list])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3374 ("Compose"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3375 ["New Component" vhdl-compose-new-component t]
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3376 ["Copy Component" vhdl-port-copy t]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3377 ["Place Component" vhdl-compose-place-component vhdl-port-list]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3378 ["Wire Components" vhdl-compose-wire-components t]
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3379 "--"
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3380 ["Generate Configuration" vhdl-compose-configuration t]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3381 ["Generate Components Package" vhdl-compose-components-package t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3382 ("Subprogram"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3383 ["Copy" vhdl-subprog-copy t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3384 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3385 ["Paste As Declaration" vhdl-subprog-paste-declaration vhdl-subprog-list]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3386 ["Paste As Body" vhdl-subprog-paste-body vhdl-subprog-list]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3387 ["Paste As Call" vhdl-subprog-paste-call vhdl-subprog-list]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3388 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3389 ["Flatten" vhdl-subprog-flatten
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3390 :style toggle :selected vhdl-subprog-flattened :active vhdl-subprog-list])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3391 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3392 ("Comment"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3393 ["(Un)Comment Out Region" vhdl-comment-uncomment-region (mark)]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3394 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3395 ["Insert Inline Comment" vhdl-comment-append-inline t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3396 ["Insert Horizontal Line" vhdl-comment-display-line t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3397 ["Insert Display Comment" vhdl-comment-display t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3398 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3399 ["Fill Comment" fill-paragraph t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3400 ["Fill Comment Region" fill-region (mark)]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3401 ["Kill Comment Region" vhdl-comment-kill-region (mark)]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3402 ["Kill Inline Comment Region" vhdl-comment-kill-inline-region (mark)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3403 ("Line"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3404 ["Kill" vhdl-line-kill t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3405 ["Copy" vhdl-line-copy t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3406 ["Yank" vhdl-line-yank t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3407 ["Expand" vhdl-line-expand t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3408 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3409 ["Transpose Next" vhdl-line-transpose-next t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3410 ["Transpose Prev" vhdl-line-transpose-previous t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3411 ["Open" vhdl-line-open t]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3412 ["Join" vhdl-delete-indentation t]
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3413 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3414 ["Goto" goto-line t]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3415 ["(Un)Comment Out" vhdl-comment-uncomment-line t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3416 ("Move"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3417 ["Forward Statement" vhdl-end-of-statement t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3418 ["Backward Statement" vhdl-beginning-of-statement t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3419 ["Forward Expression" vhdl-forward-sexp t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3420 ["Backward Expression" vhdl-backward-sexp t]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3421 ["Forward Same Indent" vhdl-forward-same-indent t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3422 ["Backward Same Indent" vhdl-backward-same-indent t]
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3423 ["Forward Function" vhdl-end-of-defun t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3424 ["Backward Function" vhdl-beginning-of-defun t]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3425 ["Mark Function" vhdl-mark-defun t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3426 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3427 ("Indent"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3428 ["Line" indent-according-to-mode :keys "C-c C-i C-l"]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3429 ["Group" vhdl-indent-group :keys "C-c C-i C-g"]
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3430 ["Region" vhdl-indent-region (mark)]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3431 ["Buffer" vhdl-indent-buffer :keys "C-c C-i C-b"])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3432 ("Align"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3433 ["Group" vhdl-align-group t]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3434 ["Same Indent" vhdl-align-same-indent :keys "C-c C-a C-i"]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3435 ["List" vhdl-align-list t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3436 ["Declarations" vhdl-align-declarations t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3437 ["Region" vhdl-align-region (mark)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3438 ["Buffer" vhdl-align-buffer t]
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3439 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3440 ["Inline Comment Group" vhdl-align-inline-comment-group t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3441 ["Inline Comment Region" vhdl-align-inline-comment-region (mark)]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3442 ["Inline Comment Buffer" vhdl-align-inline-comment-buffer t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3443 ("Fill"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3444 ["List" vhdl-fill-list t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3445 ["Group" vhdl-fill-group t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3446 ["Same Indent" vhdl-fill-same-indent :keys "C-c C-f C-i"]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3447 ["Region" vhdl-fill-region (mark)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3448 ("Beautify"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3449 ["Region" vhdl-beautify-region (mark)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3450 ["Buffer" vhdl-beautify-buffer t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3451 ("Fix"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3452 ["Generic/Port Clause" vhdl-fix-clause t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3453 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3454 ["Case Region" vhdl-fix-case-region (mark)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3455 ["Case Buffer" vhdl-fix-case-buffer t]
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3456 "--"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3457 ["Whitespace Region" vhdl-fixup-whitespace-region (mark)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3458 ["Whitespace Buffer" vhdl-fixup-whitespace-buffer t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3459 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3460 ["Trailing Spaces Buffer" vhdl-remove-trailing-spaces t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3461 ("Update"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3462 ["Sensitivity List" vhdl-update-sensitivity-list-process t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3463 ["Sensitivity List Buffer" vhdl-update-sensitivity-list-buffer t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3464 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3465 ["Fontify Buffer" vhdl-fontify-buffer t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3466 ["Statistics Buffer" vhdl-statistics-buffer t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3467 ["Show Messages" vhdl-show-messages t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3468 ["Syntactic Info" vhdl-show-syntactic-information t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3469 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3470 ["Speedbar" vhdl-speedbar t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3471 ["Hide/Show" vhdl-hs-minor-mode t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3472 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3473 ("Documentation"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3474 ["VHDL Mode" vhdl-doc-mode :keys "C-c C-h"]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3475 ["Release Notes" (vhdl-doc-variable 'vhdl-doc-release-notes) t]
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3476 ["Reserved Words" (vhdl-doc-variable 'vhdl-doc-keywords) t]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3477 ["Coding Style" (vhdl-doc-variable 'vhdl-doc-coding-style) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3478 ["Version" vhdl-version t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3479 ["Bug Report..." vhdl-submit-bug-report t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3480 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3481 ("Options"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3482 ("Mode"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3483 ["Electric Mode"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3484 (progn (customize-set-variable 'vhdl-electric-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3485 (not vhdl-electric-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3486 (vhdl-mode-line-update))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3487 :style toggle :selected vhdl-electric-mode :keys "C-c C-m C-e"]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3488 ["Stutter Mode"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3489 (progn (customize-set-variable 'vhdl-stutter-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3490 (not vhdl-stutter-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3491 (vhdl-mode-line-update))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3492 :style toggle :selected vhdl-stutter-mode :keys "C-c C-m C-s"]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3493 ["Indent Tabs Mode"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3494 (progn (customize-set-variable 'vhdl-indent-tabs-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3495 (not vhdl-indent-tabs-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3496 (setq indent-tabs-mode vhdl-indent-tabs-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3497 :style toggle :selected vhdl-indent-tabs-mode]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3498 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3499 ["Customize Group..." (customize-group 'vhdl-mode) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3500 ("Project"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3501 ["Project Setup..." (customize-option 'vhdl-project-alist) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3502 ,(append
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3503 '("Selected Project at Startup"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3504 ["None" (progn (customize-set-variable 'vhdl-project nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3505 (vhdl-set-project ""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3506 :style radio :selected (null vhdl-project)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3507 "--")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3508 ;; add menu entries for defined projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3509 (let ((project-alist vhdl-project-alist) menu-list name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3510 (while project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3511 (setq name (caar project-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3512 (setq menu-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3513 (cons `[,name (progn (customize-set-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3514 'vhdl-project ,name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3515 (vhdl-set-project ,name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3516 :style radio :selected (equal ,name vhdl-project)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3517 menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3518 (setq project-alist (cdr project-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3519 (setq menu-list (nreverse menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3520 (vhdl-menu-split menu-list "Project")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3521 ["Setup File Name..." (customize-option 'vhdl-project-file-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3522 ("Auto Load Setup File"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3523 ["At Startup"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3524 (customize-set-variable 'vhdl-project-auto-load
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3525 (if (memq 'startup vhdl-project-auto-load)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3526 (delq 'startup vhdl-project-auto-load)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3527 (cons 'startup vhdl-project-auto-load)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3528 :style toggle :selected (memq 'startup vhdl-project-auto-load)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3529 ["Sort Projects"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3530 (customize-set-variable 'vhdl-project-sort (not vhdl-project-sort))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3531 :style toggle :selected vhdl-project-sort]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3532 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3533 ["Customize Group..." (customize-group 'vhdl-project) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3534 ("Compiler"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3535 ["Compiler Setup..." (customize-option 'vhdl-compiler-alist) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3536 ,(append
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3537 '("Selected Compiler at Startup")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3538 ;; add menu entries for defined compilers
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3539 (let ((comp-alist vhdl-compiler-alist) menu-list name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3540 (while comp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3541 (setq name (caar comp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3542 (setq menu-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3543 (cons `[,name (customize-set-variable 'vhdl-compiler ,name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3544 :style radio :selected (equal ,name vhdl-compiler)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3545 menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3546 (setq comp-alist (cdr comp-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3547 (setq menu-list (nreverse menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3548 (vhdl-menu-split menu-list "Compler")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3549 ["Use Local Error Regexp"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3550 (customize-set-variable 'vhdl-compile-use-local-error-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3551 (not vhdl-compile-use-local-error-regexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3552 :style toggle :selected vhdl-compile-use-local-error-regexp]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3553 ["Makefile Generation Hook..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3554 (customize-option 'vhdl-makefile-generation-hook) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3555 ["Default Library Name" (customize-option 'vhdl-default-library) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3556 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3557 ["Customize Group..." (customize-group 'vhdl-compiler) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3558 ("Style"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3559 ("VHDL Standard"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3560 ["VHDL'87"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3561 (progn (customize-set-variable 'vhdl-standard
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3562 (list '87 (cadr vhdl-standard)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3563 (vhdl-activate-customizations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3564 :style radio :selected (eq '87 (car vhdl-standard))]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3565 ["VHDL'93"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3566 (progn (customize-set-variable 'vhdl-standard
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3567 (list '93 (cadr vhdl-standard)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3568 (vhdl-activate-customizations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3569 :style radio :selected (eq '93 (car vhdl-standard))]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3570 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3571 ["VHDL-AMS"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3572 (progn (customize-set-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3573 'vhdl-standard (list (car vhdl-standard)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3574 (if (memq 'ams (cadr vhdl-standard))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3575 (delq 'ams (cadr vhdl-standard))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3576 (cons 'ams (cadr vhdl-standard)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3577 (vhdl-activate-customizations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3578 :style toggle :selected (memq 'ams (cadr vhdl-standard))]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3579 ["Math Packages"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3580 (progn (customize-set-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3581 'vhdl-standard (list (car vhdl-standard)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3582 (if (memq 'math (cadr vhdl-standard))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3583 (delq 'math (cadr vhdl-standard))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3584 (cons 'math (cadr vhdl-standard)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3585 (vhdl-activate-customizations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3586 :style toggle :selected (memq 'math (cadr vhdl-standard))])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3587 ["Indentation Offset..." (customize-option 'vhdl-basic-offset) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3588 ["Upper Case Keywords"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3589 (customize-set-variable 'vhdl-upper-case-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3590 (not vhdl-upper-case-keywords))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3591 :style toggle :selected vhdl-upper-case-keywords]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3592 ["Upper Case Types"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3593 (customize-set-variable 'vhdl-upper-case-types
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3594 (not vhdl-upper-case-types))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3595 :style toggle :selected vhdl-upper-case-types]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3596 ["Upper Case Attributes"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3597 (customize-set-variable 'vhdl-upper-case-attributes
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3598 (not vhdl-upper-case-attributes))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3599 :style toggle :selected vhdl-upper-case-attributes]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3600 ["Upper Case Enumeration Values"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3601 (customize-set-variable 'vhdl-upper-case-enum-values
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3602 (not vhdl-upper-case-enum-values))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3603 :style toggle :selected vhdl-upper-case-enum-values]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3604 ["Upper Case Constants"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3605 (customize-set-variable 'vhdl-upper-case-constants
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3606 (not vhdl-upper-case-constants))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3607 :style toggle :selected vhdl-upper-case-constants]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3608 ("Use Direct Instantiation"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3609 ["Never"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3610 (customize-set-variable 'vhdl-use-direct-instantiation 'never)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3611 :style radio :selected (eq 'never vhdl-use-direct-instantiation)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3612 ["Standard"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3613 (customize-set-variable 'vhdl-use-direct-instantiation 'standard)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3614 :style radio :selected (eq 'standard vhdl-use-direct-instantiation)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3615 ["Always"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3616 (customize-set-variable 'vhdl-use-direct-instantiation 'always)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3617 :style radio :selected (eq 'always vhdl-use-direct-instantiation)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3618 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3619 ["Customize Group..." (customize-group 'vhdl-style) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3620 ("Naming"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3621 ["Entity File Name..." (customize-option 'vhdl-entity-file-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3622 ["Architecture File Name..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3623 (customize-option 'vhdl-architecture-file-name) t]
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3624 ["Configuration File Name..."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3625 (customize-option 'vhdl-configuration-file-name) t]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3626 ["Package File Name..." (customize-option 'vhdl-package-file-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3627 ("File Name Case"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3628 ["As Is"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3629 (customize-set-variable 'vhdl-file-name-case 'identity)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3630 :style radio :selected (eq 'identity vhdl-file-name-case)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3631 ["Lower Case"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3632 (customize-set-variable 'vhdl-file-name-case 'downcase)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3633 :style radio :selected (eq 'downcase vhdl-file-name-case)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3634 ["Upper Case"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3635 (customize-set-variable 'vhdl-file-name-case 'upcase)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3636 :style radio :selected (eq 'upcase vhdl-file-name-case)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3637 ["Capitalize"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3638 (customize-set-variable 'vhdl-file-name-case 'capitalize)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3639 :style radio :selected (eq 'capitalize vhdl-file-name-case)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3640 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3641 ["Customize Group..." (customize-group 'vhdl-naming) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3642 ("Template"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3643 ("Electric Keywords"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3644 ["VHDL Keywords"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3645 (customize-set-variable 'vhdl-electric-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3646 (if (memq 'vhdl vhdl-electric-keywords)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3647 (delq 'vhdl vhdl-electric-keywords)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3648 (cons 'vhdl vhdl-electric-keywords)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3649 :style toggle :selected (memq 'vhdl vhdl-electric-keywords)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3650 ["User Model Keywords"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3651 (customize-set-variable 'vhdl-electric-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3652 (if (memq 'user vhdl-electric-keywords)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3653 (delq 'user vhdl-electric-keywords)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3654 (cons 'user vhdl-electric-keywords)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3655 :style toggle :selected (memq 'user vhdl-electric-keywords)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3656 ("Insert Optional Labels"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3657 ["None"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3658 (customize-set-variable 'vhdl-optional-labels 'none)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3659 :style radio :selected (eq 'none vhdl-optional-labels)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3660 ["Processes Only"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3661 (customize-set-variable 'vhdl-optional-labels 'process)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3662 :style radio :selected (eq 'process vhdl-optional-labels)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3663 ["All Constructs"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3664 (customize-set-variable 'vhdl-optional-labels 'all)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3665 :style radio :selected (eq 'all vhdl-optional-labels)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3666 ("Insert Empty Lines"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3667 ["None"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3668 (customize-set-variable 'vhdl-insert-empty-lines 'none)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3669 :style radio :selected (eq 'none vhdl-insert-empty-lines)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3670 ["Design Units Only"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3671 (customize-set-variable 'vhdl-insert-empty-lines 'unit)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3672 :style radio :selected (eq 'unit vhdl-insert-empty-lines)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3673 ["All Constructs"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3674 (customize-set-variable 'vhdl-insert-empty-lines 'all)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3675 :style radio :selected (eq 'all vhdl-insert-empty-lines)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3676 ["Argument List Indent"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3677 (customize-set-variable 'vhdl-argument-list-indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3678 (not vhdl-argument-list-indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3679 :style toggle :selected vhdl-argument-list-indent]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3680 ["Association List with Formals"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3681 (customize-set-variable 'vhdl-association-list-with-formals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3682 (not vhdl-association-list-with-formals))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3683 :style toggle :selected vhdl-association-list-with-formals]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3684 ["Conditions in Parenthesis"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3685 (customize-set-variable 'vhdl-conditions-in-parenthesis
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3686 (not vhdl-conditions-in-parenthesis))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3687 :style toggle :selected vhdl-conditions-in-parenthesis]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3688 ["Zero String..." (customize-option 'vhdl-zero-string) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3689 ["One String..." (customize-option 'vhdl-one-string) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3690 ("File Header"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3691 ["Header String..." (customize-option 'vhdl-file-header) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3692 ["Footer String..." (customize-option 'vhdl-file-footer) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3693 ["Company Name..." (customize-option 'vhdl-company-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3694 ["Copyright String..." (customize-option 'vhdl-copyright-string) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3695 ["Platform Specification..." (customize-option 'vhdl-platform-spec) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3696 ["Date Format..." (customize-option 'vhdl-date-format) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3697 ["Modify Date Prefix String..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3698 (customize-option 'vhdl-modify-date-prefix-string) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3699 ["Modify Date on Saving"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3700 (progn (customize-set-variable 'vhdl-modify-date-on-saving
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3701 (not vhdl-modify-date-on-saving))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3702 (vhdl-activate-customizations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3703 :style toggle :selected vhdl-modify-date-on-saving])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3704 ("Sequential Process"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3705 ("Kind of Reset"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3706 ["None"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3707 (customize-set-variable 'vhdl-reset-kind 'none)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3708 :style radio :selected (eq 'none vhdl-reset-kind)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3709 ["Synchronous"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3710 (customize-set-variable 'vhdl-reset-kind 'sync)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3711 :style radio :selected (eq 'sync vhdl-reset-kind)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3712 ["Asynchronous"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3713 (customize-set-variable 'vhdl-reset-kind 'async)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3714 :style radio :selected (eq 'async vhdl-reset-kind)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3715 ["Reset is Active High"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3716 (customize-set-variable 'vhdl-reset-active-high
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3717 (not vhdl-reset-active-high))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3718 :style toggle :selected vhdl-reset-active-high]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3719 ["Use Rising Clock Edge"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3720 (customize-set-variable 'vhdl-clock-rising-edge
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3721 (not vhdl-clock-rising-edge))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3722 :style toggle :selected vhdl-clock-rising-edge]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3723 ("Clock Edge Condition"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3724 ["Standard"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3725 (customize-set-variable 'vhdl-clock-edge-condition 'standard)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3726 :style radio :selected (eq 'standard vhdl-clock-edge-condition)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3727 ["Function \"rising_edge\""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3728 (customize-set-variable 'vhdl-clock-edge-condition 'function)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3729 :style radio :selected (eq 'function vhdl-clock-edge-condition)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3730 ["Clock Name..." (customize-option 'vhdl-clock-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3731 ["Reset Name..." (customize-option 'vhdl-reset-name) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3732 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3733 ["Customize Group..." (customize-group 'vhdl-template) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3734 ("Model"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3735 ["Model Definition..." (customize-option 'vhdl-model-alist) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3736 ("Port"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3737 ["Include Port Comments"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3738 (customize-set-variable 'vhdl-include-port-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3739 (not vhdl-include-port-comments))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3740 :style toggle :selected vhdl-include-port-comments]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3741 ["Include Direction Comments"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3742 (customize-set-variable 'vhdl-include-direction-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3743 (not vhdl-include-direction-comments))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3744 :style toggle :selected vhdl-include-direction-comments]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3745 ["Include Type Comments"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3746 (customize-set-variable 'vhdl-include-type-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3747 (not vhdl-include-type-comments))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3748 :style toggle :selected vhdl-include-type-comments]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3749 ("Include Group Comments"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3750 ["Never"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3751 (customize-set-variable 'vhdl-include-group-comments 'never)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3752 :style radio :selected (eq 'never vhdl-include-group-comments)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3753 ["Declarations"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3754 (customize-set-variable 'vhdl-include-group-comments 'decl)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3755 :style radio :selected (eq 'decl vhdl-include-group-comments)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3756 ["Always"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3757 (customize-set-variable 'vhdl-include-group-comments 'always)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3758 :style radio :selected (eq 'always vhdl-include-group-comments)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3759 ["Actual Port Name..." (customize-option 'vhdl-actual-port-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3760 ["Instance Name..." (customize-option 'vhdl-instance-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3761 ("Testbench"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3762 ["Entity Name..." (customize-option 'vhdl-testbench-entity-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3763 ["Architecture Name..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3764 (customize-option 'vhdl-testbench-architecture-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3765 ["Configuration Name..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3766 (customize-option 'vhdl-testbench-configuration-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3767 ["DUT Name..." (customize-option 'vhdl-testbench-dut-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3768 ["Include Header"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3769 (customize-set-variable 'vhdl-testbench-include-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3770 (not vhdl-testbench-include-header))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3771 :style toggle :selected vhdl-testbench-include-header]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3772 ["Declarations..." (customize-option 'vhdl-testbench-declarations) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3773 ["Statements..." (customize-option 'vhdl-testbench-statements) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3774 ["Initialize Signals"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3775 (customize-set-variable 'vhdl-testbench-initialize-signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3776 (not vhdl-testbench-initialize-signals))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3777 :style toggle :selected vhdl-testbench-initialize-signals]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3778 ["Include Library Clause"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3779 (customize-set-variable 'vhdl-testbench-include-library
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3780 (not vhdl-testbench-include-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3781 :style toggle :selected vhdl-testbench-include-library]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3782 ["Include Configuration"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3783 (customize-set-variable 'vhdl-testbench-include-configuration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3784 (not vhdl-testbench-include-configuration))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3785 :style toggle :selected vhdl-testbench-include-configuration]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3786 ("Create Files"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3787 ["None"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3788 (customize-set-variable 'vhdl-testbench-create-files 'none)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3789 :style radio :selected (eq 'none vhdl-testbench-create-files)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3790 ["Single"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3791 (customize-set-variable 'vhdl-testbench-create-files 'single)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3792 :style radio :selected (eq 'single vhdl-testbench-create-files)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3793 ["Separate"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3794 (customize-set-variable 'vhdl-testbench-create-files 'separate)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3795 :style radio :selected (eq 'separate vhdl-testbench-create-files)])
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3796 ["Testbench Entity File Name..."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3797 (customize-option 'vhdl-testbench-entity-file-name) t]
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3798 ["Testbench Architecture File Name..."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3799 (customize-option 'vhdl-testbench-architecture-file-name) t])
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3800 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3801 ["Customize Group..." (customize-group 'vhdl-port) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3802 ("Compose"
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3803 ["Architecture Name..."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3804 (customize-option 'vhdl-compose-architecture-name) t]
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3805 ["Configuration Name..."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3806 (customize-option 'vhdl-compose-configuration-name) t]
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3807 ["Components Package Name..."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3808 (customize-option 'vhdl-components-package-name) t]
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3809 ["Use Components Package"
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3810 (customize-set-variable 'vhdl-use-components-package
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3811 (not vhdl-use-components-package))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3812 :style toggle :selected vhdl-use-components-package]
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3813 ["Include Header"
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3814 (customize-set-variable 'vhdl-compose-include-header
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3815 (not vhdl-compose-include-header))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3816 :style toggle :selected vhdl-compose-include-header]
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3817 ("Create Entity/Architecture Files"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3818 ["None"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3819 (customize-set-variable 'vhdl-compose-create-files 'none)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3820 :style radio :selected (eq 'none vhdl-compose-create-files)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3821 ["Single"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3822 (customize-set-variable 'vhdl-compose-create-files 'single)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3823 :style radio :selected (eq 'single vhdl-compose-create-files)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3824 ["Separate"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3825 (customize-set-variable 'vhdl-compose-create-files 'separate)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3826 :style radio :selected (eq 'separate vhdl-compose-create-files)])
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3827 ["Create Configuration File"
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3828 (customize-set-variable 'vhdl-compose-configuration-create-file
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3829 (not vhdl-compose-configuration-create-file))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3830 :style toggle :selected vhdl-compose-configuration-create-file]
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3831 ["Hierarchical Configuration"
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3832 (customize-set-variable 'vhdl-compose-configuration-hierarchical
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3833 (not vhdl-compose-configuration-hierarchical))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3834 :style toggle :selected vhdl-compose-configuration-hierarchical]
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3835 ["Use Subconfiguration"
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3836 (customize-set-variable 'vhdl-compose-configuration-use-subconfiguration
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3837 (not vhdl-compose-configuration-use-subconfiguration))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
3838 :style toggle :selected vhdl-compose-configuration-use-subconfiguration]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3839 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3840 ["Customize Group..." (customize-group 'vhdl-compose) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3841 ("Comment"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3842 ["Self Insert Comments"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3843 (customize-set-variable 'vhdl-self-insert-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3844 (not vhdl-self-insert-comments))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3845 :style toggle :selected vhdl-self-insert-comments]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3846 ["Prompt for Comments"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3847 (customize-set-variable 'vhdl-prompt-for-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3848 (not vhdl-prompt-for-comments))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3849 :style toggle :selected vhdl-prompt-for-comments]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3850 ["Inline Comment Column..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3851 (customize-option 'vhdl-inline-comment-column) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3852 ["End Comment Column..." (customize-option 'vhdl-end-comment-column) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3853 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3854 ["Customize Group..." (customize-group 'vhdl-comment) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3855 ("Align"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3856 ["Auto Align Templates"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3857 (customize-set-variable 'vhdl-auto-align (not vhdl-auto-align))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3858 :style toggle :selected vhdl-auto-align]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3859 ["Align Line Groups"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3860 (customize-set-variable 'vhdl-align-groups (not vhdl-align-groups))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3861 :style toggle :selected vhdl-align-groups]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3862 ["Group Separation String..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3863 (customize-set-variable 'vhdl-align-group-separate) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3864 ["Align Lines with Same Indent"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3865 (customize-set-variable 'vhdl-align-same-indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3866 (not vhdl-align-same-indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3867 :style toggle :selected vhdl-align-same-indent]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3868 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3869 ["Customize Group..." (customize-group 'vhdl-align) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3870 ("Highlight"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3871 ["Highlighting On/Off..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3872 (customize-option
61431
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
3873 (if (fboundp 'global-font-lock-mode)
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
3874 'global-font-lock-mode 'font-lock-auto-fontify)) t]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3875 ["Highlight Keywords"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3876 (progn (customize-set-variable 'vhdl-highlight-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3877 (not vhdl-highlight-keywords))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3878 (vhdl-fontify-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3879 :style toggle :selected vhdl-highlight-keywords]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3880 ["Highlight Names"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3881 (progn (customize-set-variable 'vhdl-highlight-names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3882 (not vhdl-highlight-names))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3883 (vhdl-fontify-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3884 :style toggle :selected vhdl-highlight-names]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3885 ["Highlight Special Words"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3886 (progn (customize-set-variable 'vhdl-highlight-special-words
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3887 (not vhdl-highlight-special-words))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3888 (vhdl-fontify-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3889 :style toggle :selected vhdl-highlight-special-words]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3890 ["Highlight Forbidden Words"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3891 (progn (customize-set-variable 'vhdl-highlight-forbidden-words
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3892 (not vhdl-highlight-forbidden-words))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3893 (vhdl-fontify-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3894 :style toggle :selected vhdl-highlight-forbidden-words]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3895 ["Highlight Verilog Keywords"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3896 (progn (customize-set-variable 'vhdl-highlight-verilog-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3897 (not vhdl-highlight-verilog-keywords))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3898 (vhdl-fontify-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3899 :style toggle :selected vhdl-highlight-verilog-keywords]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3900 ["Highlight \"translate_off\""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3901 (progn (customize-set-variable 'vhdl-highlight-translate-off
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3902 (not vhdl-highlight-translate-off))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3903 (vhdl-fontify-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3904 :style toggle :selected vhdl-highlight-translate-off]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3905 ["Case Sensitive Highlighting"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3906 (progn (customize-set-variable 'vhdl-highlight-case-sensitive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3907 (not vhdl-highlight-case-sensitive))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3908 (vhdl-fontify-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3909 :style toggle :selected vhdl-highlight-case-sensitive]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3910 ["Special Syntax Definition..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3911 (customize-option 'vhdl-special-syntax-alist) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3912 ["Forbidden Words..." (customize-option 'vhdl-forbidden-words) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3913 ["Forbidden Syntax..." (customize-option 'vhdl-forbidden-syntax) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3914 ["Directive Keywords..." (customize-option 'vhdl-directive-keywords) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3915 ["Colors..." (customize-group 'vhdl-highlight-faces) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3916 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3917 ["Customize Group..." (customize-group 'vhdl-highlight) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3918 ("Speedbar"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3919 ["Auto Open at Startup"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3920 (customize-set-variable 'vhdl-speedbar-auto-open
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3921 (not vhdl-speedbar-auto-open))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3922 :style toggle :selected vhdl-speedbar-auto-open]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3923 ("Default Displaying Mode"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3924 ["Files"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3925 (customize-set-variable 'vhdl-speedbar-display-mode 'files)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3926 :style radio :selected (eq 'files vhdl-speedbar-display-mode)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3927 ["Directory Hierarchy"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3928 (customize-set-variable 'vhdl-speedbar-display-mode 'directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3929 :style radio :selected (eq 'directory vhdl-speedbar-display-mode)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3930 ["Project Hierarchy"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3931 (customize-set-variable 'vhdl-speedbar-display-mode 'project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3932 :style radio :selected (eq 'project vhdl-speedbar-display-mode)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3933 ["Indentation Offset..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3934 (customize-option 'speedbar-indentation-width) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3935 ["Scan Size Limits..." (customize-option 'vhdl-speedbar-scan-limit) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3936 ["Jump to Unit when Opening"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3937 (customize-set-variable 'vhdl-speedbar-jump-to-unit
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3938 (not vhdl-speedbar-jump-to-unit))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3939 :style toggle :selected vhdl-speedbar-jump-to-unit]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3940 ["Update Hierarchy on File Saving"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3941 (customize-set-variable 'vhdl-speedbar-update-on-saving
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3942 (not vhdl-speedbar-update-on-saving))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3943 :style toggle :selected vhdl-speedbar-update-on-saving]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3944 ("Save in Cache File"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3945 ["Hierarchy Information"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3946 (customize-set-variable 'vhdl-speedbar-save-cache
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3947 (if (memq 'hierarchy vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3948 (delq 'hierarchy vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3949 (cons 'hierarchy vhdl-speedbar-save-cache)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3950 :style toggle :selected (memq 'hierarchy vhdl-speedbar-save-cache)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3951 ["Displaying Status"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3952 (customize-set-variable 'vhdl-speedbar-save-cache
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3953 (if (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3954 (delq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3955 (cons 'display vhdl-speedbar-save-cache)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3956 :style toggle :selected (memq 'display vhdl-speedbar-save-cache)])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3957 ["Cache File Name..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3958 (customize-option 'vhdl-speedbar-cache-file-name) t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3959 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3960 ["Customize Group..." (customize-group 'vhdl-speedbar) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3961 ("Menu"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3962 ["Add Index Menu when Loading File"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3963 (progn (customize-set-variable 'vhdl-index-menu (not vhdl-index-menu))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3964 (vhdl-index-menu-init))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3965 :style toggle :selected vhdl-index-menu]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3966 ["Add Source File Menu when Loading File"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3967 (progn (customize-set-variable 'vhdl-source-file-menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3968 (not vhdl-source-file-menu))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3969 (vhdl-add-source-files-menu))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3970 :style toggle :selected vhdl-source-file-menu]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3971 ["Add Hideshow Menu at Startup"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3972 (progn (customize-set-variable 'vhdl-hideshow-menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3973 (not vhdl-hideshow-menu))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3974 (vhdl-activate-customizations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3975 :style toggle :selected vhdl-hideshow-menu]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3976 ["Hide Everything Initially"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3977 (customize-set-variable 'vhdl-hide-all-init (not vhdl-hide-all-init))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3978 :style toggle :selected vhdl-hide-all-init]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3979 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3980 ["Customize Group..." (customize-group 'vhdl-menu) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3981 ("Print"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3982 ["In Two Column Format"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3983 (progn (customize-set-variable 'vhdl-print-two-column
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3984 (not vhdl-print-two-column))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3985 (message "Activate new setting by saving options and restarting Emacs"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3986 :style toggle :selected vhdl-print-two-column]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3987 ["Use Customized Faces"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3988 (progn (customize-set-variable 'vhdl-print-customize-faces
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3989 (not vhdl-print-customize-faces))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3990 (message "Activate new setting by saving options and restarting Emacs"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3991 :style toggle :selected vhdl-print-customize-faces]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3992 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3993 ["Customize Group..." (customize-group 'vhdl-print) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3994 ("Miscellaneous"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3995 ["Use Intelligent Tab"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3996 (progn (customize-set-variable 'vhdl-intelligent-tab
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3997 (not vhdl-intelligent-tab))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3998 (vhdl-activate-customizations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
3999 :style toggle :selected vhdl-intelligent-tab]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4000 ["Indent Syntax-Based"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4001 (customize-set-variable 'vhdl-indent-syntax-based
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4002 (not vhdl-indent-syntax-based))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4003 :style toggle :selected vhdl-indent-syntax-based]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4004 ["Word Completion is Case Sensitive"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4005 (customize-set-variable 'vhdl-word-completion-case-sensitive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4006 (not vhdl-word-completion-case-sensitive))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4007 :style toggle :selected vhdl-word-completion-case-sensitive]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4008 ["Word Completion in Minibuffer"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4009 (progn (customize-set-variable 'vhdl-word-completion-in-minibuffer
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4010 (not vhdl-word-completion-in-minibuffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4011 (message "Activate new setting by saving options and restarting Emacs"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4012 :style toggle :selected vhdl-word-completion-in-minibuffer]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4013 ["Underscore is Part of Word"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4014 (progn (customize-set-variable 'vhdl-underscore-is-part-of-word
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4015 (not vhdl-underscore-is-part-of-word))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4016 (vhdl-activate-customizations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4017 :style toggle :selected vhdl-underscore-is-part-of-word]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4018 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4019 ["Customize Group..." (customize-group 'vhdl-misc) t])
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4020 ["Related..." (customize-browse 'vhdl-related) t]
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4021 "--"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4022 ["Save Options" customize-save-customized t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4023 ["Activate Options" vhdl-activate-customizations t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4024 ["Browse Options..." vhdl-customize t])))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4025
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4026 (defvar vhdl-mode-menu-list (vhdl-create-mode-menu)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4027 "VHDL Mode menu.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4028
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4029 (defun vhdl-update-mode-menu ()
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4030 "Update VHDL Mode menu."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4031 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4032 (easy-menu-remove vhdl-mode-menu-list) ; for XEmacs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4033 (setq vhdl-mode-menu-list (vhdl-create-mode-menu))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4034 (easy-menu-add vhdl-mode-menu-list) ; for XEmacs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4035 (easy-menu-define vhdl-mode-menu vhdl-mode-map
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4036 "Menu keymap for VHDL Mode." vhdl-mode-menu-list))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4037
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4038 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4039 ;; Index menu (using `imenu.el'), also used for speedbar (using `speedbar.el')
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4040
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4041 (defconst vhdl-imenu-generic-expression
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4042 '(
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4043 ("Subprogram"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4044 "^\\s-*\\(\\(\\(impure\\|pure\\)\\s-+\\|\\)function\\|procedure\\)\\s-+\\(\"?\\(\\w\\|\\s_\\)+\"?\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4045 4)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4046 ("Instance"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4047 "^\\s-*\\(\\(\\w\\|\\s_\\)+\\s-*:\\(\\s-\\|\n\\)*\\(\\w\\|\\s_\\)+\\)\\(\\s-\\|\n\\)+\\(generic\\|port\\)\\s-+map\\>"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4048 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4049 ("Component"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4050 "^\\s-*\\(component\\)\\s-+\\(\\(\\w\\|\\s_\\)+\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4051 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4052 ("Procedural"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4053 "^\\s-*\\(\\(\\w\\|\\s_\\)+\\)\\s-*:\\(\\s-\\|\n\\)*\\(procedural\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4054 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4055 ("Process"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4056 "^\\s-*\\(\\(\\w\\|\\s_\\)+\\)\\s-*:\\(\\s-\\|\n\\)*\\(\\(postponed\\s-+\\|\\)process\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4057 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4058 ("Block"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4059 "^\\s-*\\(\\(\\w\\|\\s_\\)+\\)\\s-*:\\(\\s-\\|\n\\)*\\(block\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4060 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4061 ("Package"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4062 "^\\s-*\\(package\\( body\\|\\)\\)\\s-+\\(\\(\\w\\|\\s_\\)+\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4063 3)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4064 ("Configuration"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4065 "^\\s-*\\(configuration\\)\\s-+\\(\\(\\w\\|\\s_\\)+\\s-+of\\s-+\\(\\w\\|\\s_\\)+\\)"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4066 2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4067 ("Architecture"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4068 "^\\s-*\\(architecture\\)\\s-+\\(\\(\\w\\|\\s_\\)+\\s-+of\\s-+\\(\\w\\|\\s_\\)+\\)"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4069 2)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4070 ("Entity"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4071 "^\\s-*\\(entity\\)\\s-+\\(\\(\\w\\|\\s_\\)+\\)"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4072 2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4073 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4074 "Imenu generic expression for VHDL Mode. See `imenu-generic-expression'.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4075
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4076 (defun vhdl-index-menu-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4077 "Initialize index menu."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4078 (set (make-local-variable 'imenu-case-fold-search) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4079 (set (make-local-variable 'imenu-generic-expression)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4080 vhdl-imenu-generic-expression)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4081 (when (and vhdl-index-menu (fboundp 'imenu))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4082 (if (or (not (boundp 'font-lock-maximum-size))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4083 (> font-lock-maximum-size (buffer-size)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4084 (imenu-add-to-menubar "Index")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4085 (message "Scanning buffer for index...buffer too big"))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4086
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4087 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4088 ;; Source file menu (using `easy-menu.el')
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4089
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4090 (defvar vhdl-sources-menu nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4091
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4092 (defun vhdl-directory-files (directory &optional full match)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4093 "Call `directory-files' if DIRECTORY exists, otherwise generate error
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4094 message."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4095 (if (not (file-directory-p directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4096 (vhdl-warning-when-idle "No such directory: \"%s\"" directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4097 (let ((dir (directory-files directory full match)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4098 (setq dir (delete "." dir))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4099 (setq dir (delete ".." dir))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4100 dir)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4101
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4102 (defun vhdl-get-source-files (&optional full directory)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4103 "Get list of VHDL source files in DIRECTORY or current directory."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4104 (let ((mode-alist auto-mode-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4105 filename-regexp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4106 ;; create regular expressions for matching file names
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4107 (setq filename-regexp "\\`[^.].*\\(")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4108 (while mode-alist
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4109 (when (eq (cdar mode-alist) 'vhdl-mode)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4110 (setq filename-regexp
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4111 (concat filename-regexp (caar mode-alist) "\\|")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4112 (setq mode-alist (cdr mode-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4113 (setq filename-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4114 (concat (substring filename-regexp 0
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4115 (string-match "\\\\|$" filename-regexp)) "\\)"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4116 ;; find files
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4117 (vhdl-directory-files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4118 (or directory default-directory) full filename-regexp)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4119
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4120 (defun vhdl-add-source-files-menu ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4121 "Scan directory for all VHDL source files and generate menu.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4122 The directory of the current source file is scanned."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4123 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4124 (message "Scanning directory for source files ...")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4125 (let ((newmap (current-local-map))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4126 (file-list (vhdl-get-source-files))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4127 menu-list found)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4128 ;; Create list for menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4129 (setq found nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4130 (while file-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4131 (setq found t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4132 (setq menu-list (cons (vector (car file-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4133 (list 'find-file (car file-list)) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4134 menu-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4135 (setq file-list (cdr file-list)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4136 (setq menu-list (vhdl-menu-split menu-list "Sources"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4137 (when found (setq menu-list (cons "--" menu-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4138 (setq menu-list (cons ["*Rescan*" vhdl-add-source-files-menu t] menu-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4139 (setq menu-list (cons "Sources" menu-list))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4140 ;; Create menu
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4141 (easy-menu-add menu-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4142 (easy-menu-define vhdl-sources-menu newmap
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4143 "VHDL source files menu" menu-list))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4144 (message ""))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4145
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4146
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4147 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4148 ;;; Mode definition
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4149 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4150 ;; performs all buffer local initializations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4151
21446
830023d4cec6 *** empty log message ***
Dan Nicolaescu <done@ece.arizona.edu>
parents: 20665
diff changeset
4152 ;;;###autoload
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4153 (defun vhdl-mode ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4154 "Major mode for editing VHDL code.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4155
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4156 Usage:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4157 ------
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4158
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4159 TEMPLATE INSERTION (electrification):
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4160 After typing a VHDL keyword and entering `SPC', you are prompted for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4161 arguments while a template is generated for that VHDL construct. Typing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4162 `RET' or `C-g' at the first \(mandatory) prompt aborts the current
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4163 template generation. Optional arguments are indicated by square
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4164 brackets and removed if the queried string is left empty. Prompts for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4165 mandatory arguments remain in the code if the queried string is left
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4166 empty. They can be queried again by `C-c C-t C-q'. Enabled
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4167 electrification is indicated by `/e' in the modeline.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4168
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4169 Typing `M-SPC' after a keyword inserts a space without calling the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4170 template generator. Automatic template generation (i.e.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4171 electrification) can be disabled (enabled) by typing `C-c C-m C-e' or by
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4172 setting option `vhdl-electric-mode' (see OPTIONS).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4173
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4174 Template generators can be invoked from the VHDL menu, by key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4175 bindings, by typing `C-c C-i C-c' and choosing a construct, or by typing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4176 the keyword (i.e. first word of menu entry not in parenthesis) and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4177 `SPC'. The following abbreviations can also be used: arch, attr, cond,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4178 conf, comp, cons, func, inst, pack, sig, var.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4179
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4180 Template styles can be customized in customization group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4181 `vhdl-template' \(see OPTIONS).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4182
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4183
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4184 HEADER INSERTION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4185 A file header can be inserted by `C-c C-t C-h'. A file footer
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4186 (template at the end of the file) can be inserted by `C-c C-t C-f'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4187 See customization group `vhdl-header'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4188
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4189
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4190 STUTTERING:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4191 Double striking of some keys inserts cumbersome VHDL syntax elements.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4192 Stuttering can be disabled (enabled) by typing `C-c C-m C-s' or by
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4193 option `vhdl-stutter-mode'. Enabled stuttering is indicated by `/s' in
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4194 the modeline. The stuttering keys and their effects are:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4195
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4196 ;; --> \" : \" [ --> ( -- --> comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4197 ;;; --> \" := \" [[ --> [ --CR --> comment-out code
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4198 .. --> \" => \" ] --> ) --- --> horizontal line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4199 ,, --> \" <= \" ]] --> ] ---- --> display comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4200 == --> \" == \" '' --> \\\"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4201
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4202
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4203 WORD COMPLETION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4204 Typing `TAB' after a (not completed) word looks for a VHDL keyword or a
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4205 word in the buffer that starts alike, inserts it and adjusts case.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4206 Re-typing `TAB' toggles through alternative word completions. This also
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4207 works in the minibuffer (i.e. in template generator prompts).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4208
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4209 Typing `TAB' after `(' looks for and inserts complete parenthesized
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4210 expressions (e.g. for array index ranges). All keywords as well as
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4211 standard types and subprograms of VHDL have predefined abbreviations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4212 \(e.g. type \"std\" and `TAB' will toggle through all standard types
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4213 beginning with \"std\").
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4214
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4215 Typing `TAB' after a non-word character indents the line if at the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4216 beginning of a line (i.e. no preceding non-blank characters), and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4217 inserts a tabulator stop otherwise. `M-TAB' always inserts a tabulator
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4218 stop.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4219
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4220
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4221 COMMENTS:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4222 `--' puts a single comment.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4223 `---' draws a horizontal line for separating code segments.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4224 `----' inserts a display comment, i.e. two horizontal lines
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4225 with a comment in between.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4226 `--CR' comments out code on that line. Re-hitting CR comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4227 out following lines.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4228 `C-c c' comments out a region if not commented out,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4229 uncomments a region if already commented out.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4230
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4231 You are prompted for comments after object definitions (i.e. signals,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4232 variables, constants, ports) and after subprogram and process
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4233 specifications if option `vhdl-prompt-for-comments' is non-nil.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4234 Comments are automatically inserted as additional labels (e.g. after
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4235 begin statements) and as help comments if `vhdl-self-insert-comments' is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4236 non-nil.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4237
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4238 Inline comments (i.e. comments after a piece of code on the same line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4239 are indented at least to `vhdl-inline-comment-column'. Comments go at
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4240 maximum to `vhdl-end-comment-column'. `RET' after a space in a comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4241 will open a new comment line. Typing beyond `vhdl-end-comment-column'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4242 in a comment automatically opens a new comment line. `M-q' re-fills
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4243 multi-line comments.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4244
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4245
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4246 INDENTATION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4247 `TAB' indents a line if at the beginning of the line. The amount of
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4248 indentation is specified by option `vhdl-basic-offset'. `C-c C-i C-l'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4249 always indents the current line (is bound to `TAB' if option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4250 `vhdl-intelligent-tab' is nil).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4251
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4252 Indentation can be done for a group of lines (`C-c C-i C-g'), a region
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4253 \(`M-C-\\') or the entire buffer (menu). Argument and port lists are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4254 indented normally (nil) or relative to the opening parenthesis (non-nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4255 according to option `vhdl-argument-list-indent'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4256
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4257 If option `vhdl-indent-tabs-mode' is nil, spaces are used instead of
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4258 tabs. `M-x tabify' and `M-x untabify' allow to convert spaces to tabs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4259 and vice versa.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4260
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4261 Syntax-based indentation can be very slow in large files. Option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4262 `vhdl-indent-syntax-based' allows to use faster but simpler indentation.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4263
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4264
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4265 ALIGNMENT:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4266 The alignment functions align operators, keywords, and inline comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4267 to beautify the code. `C-c C-a C-a' aligns a group of consecutive lines
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4268 separated by blank lines, `C-c C-a C-i' a block of lines with same
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4269 indent. `C-c C-a C-l' aligns all lines belonging to a list enclosed by
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4270 a pair of parentheses (e.g. port clause/map, argument list), and `C-c
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4271 C-a C-d' all lines within the declarative part of a design unit. `C-c
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4272 C-a M-a' aligns an entire region. `C-c C-a C-c' aligns inline comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4273 for a group of lines, and `C-c C-a M-c' for a region.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4274
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4275 If option `vhdl-align-groups' is non-nil, groups of code lines
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4276 separated by special lines (see option `vhdl-align-group-separate') are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4277 aligned individually. If option `vhdl-align-same-indent' is non-nil,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4278 blocks of lines with same indent are aligned separately. Some templates
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4279 are automatically aligned after generation if option `vhdl-auto-align'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4280 is non-nil.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4281
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4282 Alignment tries to align inline comments at
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4283 `vhdl-inline-comment-column' and tries inline comment not to exceed
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4284 `vhdl-end-comment-column'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4285
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4286 `C-c C-x M-w' fixes up whitespace in a region. That is, operator
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4287 symbols are surrounded by one space, and multiple spaces are eliminated.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4288
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4289
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4290 CODE FILLING:
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4291 Code filling allows to condense code (e.g. sensitivity lists or port
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4292 maps) by removing comments and newlines and re-wrapping so that all
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4293 lines are maximally filled (block filling). `C-c C-f C-f' fills a list
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4294 enclosed by parenthesis, `C-c C-f C-g' a group of lines separated by
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4295 blank lines, `C-c C-f C-i' a block of lines with same indent, and
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4296 `C-c C-f M-f' an entire region.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4297
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4298
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4299 CODE BEAUTIFICATION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4300 `C-c M-b' and `C-c C-b' beautify the code of a region or of the entire
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4301 buffer respectively. This inludes indentation, alignment, and case
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4302 fixing. Code beautification can also be run non-interactively using the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4303 command:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4304
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4305 emacs -batch -l ~/.emacs filename.vhd -f vhdl-beautify-buffer
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4306
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4307
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4308 PORT TRANSLATION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4309 Generic and port clauses from entity or component declarations can be
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4310 copied (`C-c C-p C-w') and pasted as entity and component declarations,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4311 as component instantiations and corresponding internal constants and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4312 signals, as a generic map with constants as actual generics, and as
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4313 internal signal initializations (menu).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4314
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4315 To include formals in component instantiations, see option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4316 `vhdl-association-list-with-formals'. To include comments in pasting,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4317 see options `vhdl-include-...-comments'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4318
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4319 A clause with several generic/port names on the same line can be
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4320 flattened (`C-c C-p C-f') so that only one name per line exists. The
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4321 direction of ports can be reversed (`C-c C-p C-r'), i.e., inputs become
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4322 outputs and vice versa, which can be useful in testbenches. (This
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4323 reversion is done on the internal data structure and is only reflected
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4324 in subsequent paste operations.)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4325
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4326 Names for actual ports, instances, testbenches, and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4327 design-under-test instances can be derived from existing names according
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4328 to options `vhdl-...-name'. See customization group `vhdl-port'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4329
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4330
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4331 SUBPROGRAM TRANSLATION:
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4332 Similar functionality exists for copying/pasting the interface of
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4333 subprograms (function/procedure). A subprogram interface can be copied
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4334 and then pasted as a subprogram declaration, body or call (uses
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4335 association list with formals).
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4336
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4337
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4338 TESTBENCH GENERATION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4339 A copied port can also be pasted as a testbench. The generated
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4340 testbench includes an entity, an architecture, and an optional
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4341 configuration. The architecture contains the component declaration and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4342 instantiation of the DUT as well as internal constant and signal
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4343 declarations. Additional user-defined templates can be inserted. The
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4344 names used for entity/architecture/configuration/DUT as well as the file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4345 structure to be generated can be customized. See customization group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4346 `vhdl-testbench'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4347
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4348
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4349 KEY BINDINGS:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4350 Key bindings (`C-c ...') exist for most commands (see in menu).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4351
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4352
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4353 VHDL MENU:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4354 All commands can be found in the VHDL menu including their key bindings.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4355
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4356
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4357 FILE BROWSER:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4358 The speedbar allows browsing of directories and file contents. It can
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4359 be accessed from the VHDL menu and is automatically opened if option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4360 `vhdl-speedbar-auto-open' is non-nil.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4361
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4362 In speedbar, open files and directories with `mouse-2' on the name and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4363 browse/rescan their contents with `mouse-2'/`S-mouse-2' on the `+'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4364
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4365
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4366 DESIGN HIERARCHY BROWSER:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4367 The speedbar can also be used for browsing the hierarchy of design units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4368 contained in the source files of the current directory or the specified
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4369 projects (see option `vhdl-project-alist').
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4370
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4371 The speedbar can be switched between file, directory hierarchy and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4372 project hierarchy browsing mode in the speedbar menu or by typing `f',
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4373 `h' or `H' in speedbar.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4374
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4375 In speedbar, open design units with `mouse-2' on the name and browse
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4376 their hierarchy with `mouse-2' on the `+'. Ports can directly be copied
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4377 from entities and components (in packages). Individual design units and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4378 complete designs can directly be compiled (\"Make\" menu entry).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4379
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4380 The hierarchy is automatically updated upon saving a modified source
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4381 file when option `vhdl-speedbar-update-on-saving' is non-nil. The
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4382 hierarchy is only updated for projects that have been opened once in the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4383 speedbar. The hierarchy is cached between Emacs sessions in a file (see
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4384 options in group `vhdl-speedbar').
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4385
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4386 Simple design consistency checks are done during scanning, such as
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4387 multiple declarations of the same unit or missing primary units that are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4388 required by secondary units.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4389
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4390
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4391 STRUCTURAL COMPOSITION:
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4392 Enables simple structural composition. `C-c C-c C-n' creates a skeleton
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4393 for a new component. Subcomponents (i.e. component declaration and
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4394 instantiation) can be automatically placed from a previously read port
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4395 \(`C-c C-c C-p') or directly from the hierarchy browser (`P'). Finally,
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4396 all subcomponents can be automatically connected using internal signals
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4397 and ports (`C-c C-c C-w') following these rules:
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4398 - subcomponent actual ports with same name are considered to be
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4399 connected by a signal (internal signal or port)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4400 - signals that are only inputs to subcomponents are considered as
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4401 inputs to this component -> input port created
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4402 - signals that are only outputs from subcomponents are considered as
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4403 outputs from this component -> output port created
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4404 - signals that are inputs to AND outputs from subcomponents are
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4405 considered as internal connections -> internal signal created
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4406
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4407 Purpose: With appropriate naming conventions it is possible to
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4408 create higher design levels with only a few mouse clicks or key
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4409 strokes. A new design level can be created by simply generating a new
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4410 component, placing the required subcomponents from the hierarchy
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4411 browser, and wiring everything automatically.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4412
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4413 Note: Automatic wiring only works reliably on templates of new
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4414 components and component instantiations that were created by VHDL mode.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4415
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4416 Component declarations can be placed in a components package (option
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4417 `vhdl-use-components-package') which can be automatically generated for
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4418 an entire directory or project (`C-c C-c M-p'). The VHDL'93 direct
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4419 component instantiation is also supported (option
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4420 `vhdl-use-direct-instantiation').
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4421
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4422 | Configuration declarations can automatically be generated either from
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4423 | the menu (`C-c C-c C-f') (for the architecture the cursor is in) or from
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4424 | the speedbar menu (for the architecture under the cursor). The
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4425 | configurations can optionally be hierarchical (i.e. include all
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4426 | component levels of a hierarchical design, option
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4427 | `vhdl-compose-configuration-hierarchical') or include subconfigurations
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4428 | (option `vhdl-compose-configuration-use-subconfiguration'). For
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4429 | subcomponents in hierarchical configurations, the most-recently-analyzed
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4430 | (mra) architecture is selected. If another architecture is desired, it
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4431 | can be marked as most-recently-analyzed (speedbar menu) before
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4432 | generating the configuration.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4433 |
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4434 | Note: Configurations of subcomponents (i.e. hierarchical configuration
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4435 | declarations) are currently not considered when displaying
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4436 | configurations in speedbar.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4437
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4438 See the options group `vhdl-compose' for all relevant user options.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4439
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4440
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4441 SOURCE FILE COMPILATION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4442 The syntax of the current buffer can be analyzed by calling a VHDL
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4443 compiler (menu, `C-c C-k'). The compiler to be used is specified by
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4444 option `vhdl-compiler'. The available compilers are listed in option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4445 `vhdl-compiler-alist' including all required compilation command,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4446 command options, compilation directory, and error message syntax
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4447 information. New compilers can be added.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4448
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4449 All the source files of an entire design can be compiled by the `make'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4450 command (menu, `C-c M-C-k') if an appropriate Makefile exists.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4451
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4452
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4453 MAKEFILE GENERATION:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4454 Makefiles can be generated automatically by an internal generation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4455 routine (`C-c M-k'). The library unit dependency information is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4456 obtained from the hierarchy browser. Makefile generation can be
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4457 customized for each compiler in option `vhdl-compiler-alist'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4458
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4459 Makefile generation can also be run non-interactively using the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4460 command:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4461
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4462 emacs -batch -l ~/.emacs -l vhdl-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4463 [-compiler compilername] [-project projectname]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4464 -f vhdl-generate-makefile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4465
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4466 The Makefile's default target \"all\" compiles the entire design, the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4467 target \"clean\" removes it and the target \"library\" creates the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4468 library directory if not existent. The Makefile also includes a target
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4469 for each primary library unit which allows selective compilation of this
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4470 unit, its secondary units and its subhierarchy (example: compilation of
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4471 a design specified by a configuration). User specific parts can be
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4472 inserted into a Makefile with option `vhdl-makefile-generation-hook'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4473
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4474 Limitations:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4475 - Only library units and dependencies within the current library are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4476 considered. Makefiles for designs that span multiple libraries are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4477 not (yet) supported.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4478 - Only one-level configurations are supported (also hierarchical),
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4479 but configurations that go down several levels are not.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4480 - The \"others\" keyword in configurations is not supported.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4481
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4482
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4483 PROJECTS:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4484 Projects can be defined in option `vhdl-project-alist' and a current
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4485 project be selected using option `vhdl-project' (permanently) or from
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4486 the menu or speedbar (temporarily). For each project, title and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4487 description strings (for the file headers), source files/directories
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4488 (for the hierarchy browser and Makefile generation), library name, and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4489 compiler-dependent options, exceptions and compilation directory can be
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4490 specified. Compilation settings overwrite the settings of option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4491 `vhdl-compiler-alist'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4492
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4493 Project setups can be exported (i.e. written to a file) and imported.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4494 Imported setups are not automatically saved in `vhdl-project-alist' but
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4495 can be saved afterwards in its customization buffer. When starting
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4496 Emacs with VHDL Mode (i.e. load a VHDL file or use \"emacs -l
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4497 vhdl-mode\") in a directory with an existing project setup file, it is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4498 automatically loaded and its project activated if option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4499 `vhdl-project-auto-load' is non-nil. Names/paths of the project setup
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4500 files can be specified in option `vhdl-project-file-name'. Multiple
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4501 project setups can be automatically loaded from global directories.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4502 This is an alternative to specifying project setups with option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4503 `vhdl-project-alist'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4504
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4505
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4506 SPECIAL MENUES:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4507 As an alternative to the speedbar, an index menu can be added (set
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4508 option `vhdl-index-menu' to non-nil) or made accessible as a mouse menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4509 (e.g. add \"(global-set-key '[S-down-mouse-3] 'imenu)\" to your start-up
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4510 file) for browsing the file contents (is not populated if buffer is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4511 larger than `font-lock-maximum-size'). Also, a source file menu can be
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4512 added (set option `vhdl-source-file-menu' to non-nil) for browsing the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4513 current directory for VHDL source files.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4514
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4515
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4516 VHDL STANDARDS:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4517 The VHDL standards to be used are specified in option `vhdl-standard'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4518 Available standards are: VHDL'87/'93, VHDL-AMS, and Math Packages.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4519
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4520
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4521 KEYWORD CASE:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4522 Lower and upper case for keywords and standardized types, attributes,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4523 and enumeration values is supported. If the option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4524 `vhdl-upper-case-keywords' is set to non-nil, keywords can be typed in
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4525 lower case and are converted into upper case automatically (not for
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4526 types, attributes, and enumeration values). The case of keywords,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4527 types, attributes,and enumeration values can be fixed for an entire
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4528 region (menu) or buffer (`C-c C-x C-c') according to the options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4529 `vhdl-upper-case-{keywords,types,attributes,enum-values}'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4530
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4531
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4532 HIGHLIGHTING (fontification):
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4533 Keywords and standardized types, attributes, enumeration values, and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4534 function names (controlled by option `vhdl-highlight-keywords'), as well
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4535 as comments, strings, and template prompts are highlighted using
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4536 different colors. Unit, subprogram, signal, variable, constant,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4537 parameter and generic/port names in declarations as well as labels are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4538 highlighted if option `vhdl-highlight-names' is non-nil.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4539
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4540 Additional reserved words or words with a forbidden syntax (e.g. words
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4541 that should be avoided) can be specified in option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4542 `vhdl-forbidden-words' or `vhdl-forbidden-syntax' and be highlighted in
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4543 a warning color (option `vhdl-highlight-forbidden-words'). Verilog
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4544 keywords are highlighted as forbidden words if option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4545 `vhdl-highlight-verilog-keywords' is non-nil.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4546
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4547 Words with special syntax can be highlighted by specifying their
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4548 syntax and color in option `vhdl-special-syntax-alist' and by setting
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4549 option `vhdl-highlight-special-words' to non-nil. This allows to
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4550 establish some naming conventions (e.g. to distinguish different kinds
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4551 of signals or other objects by using name suffices) and to support them
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4552 visually.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4553
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4554 Option `vhdl-highlight-case-sensitive' can be set to non-nil in order
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4555 to support case-sensitive highlighting. However, keywords are then only
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4556 highlighted if written in lower case.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4557
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4558 Code between \"translate_off\" and \"translate_on\" pragmas is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4559 highlighted using a different background color if option
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4560 `vhdl-highlight-translate-off' is non-nil.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4561
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4562 For documentation and customization of the used colors see
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4563 customization group `vhdl-highlight-faces' (`M-x customize-group'). For
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4564 highlighting of matching parenthesis, see customization group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4565 `paren-showing'. Automatic buffer highlighting is turned on/off by
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4566 option `global-font-lock-mode' (`font-lock-auto-fontify' in XEmacs).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4567
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4568
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4569 USER MODELS:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4570 VHDL models (templates) can be specified by the user and made accessible
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4571 in the menu, through key bindings (`C-c C-m ...'), or by keyword
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4572 electrification. See option `vhdl-model-alist'.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4573
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4574
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4575 HIDE/SHOW:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4576 The code of blocks, processes, subprograms, component declarations and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4577 instantiations, generic/port clauses, and configuration declarations can
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4578 be hidden using the `Hide/Show' menu or by pressing `S-mouse-2' within
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4579 the code (see customization group `vhdl-menu'). XEmacs: limited
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4580 functionality due to old `hideshow.el' package.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4581
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4582
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4583 CODE UPDATING:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4584 - Sensitivity List: `C-c C-u C-s' updates the sensitivity list of the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4585 current process, `C-c C-u M-s' of all processes in the current buffer.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4586 Limitations:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4587 - Only declared local signals (ports, signals declared in
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4588 architecture and blocks) are automatically inserted.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4589 - Global signals declared in packages are not automatically inserted.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4590 Insert them once manually (will be kept afterwards).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4591 - Out parameters of procedures are considered to be read.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4592 Use option `vhdl-entity-file-name' to specify the entity file name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4593 \(used to obtain the port names).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4594
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4595
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4596 CODE FIXING:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4597 `C-c C-x C-p' fixes the closing parenthesis of a generic/port clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4598 \(e.g. if the closing parenthesis is on the wrong line or is missing).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4599
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4600
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4601 PRINTING:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4602 Postscript printing with different faces (an optimized set of faces is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4603 used if `vhdl-print-customize-faces' is non-nil) or colors \(if
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4604 `ps-print-color-p' is non-nil) is possible using the standard Emacs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4605 postscript printing commands. Option `vhdl-print-two-column' defines
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4606 appropriate default settings for nice landscape two-column printing.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4607 The paper format can be set by option `ps-paper-type'. Do not forget to
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4608 switch `ps-print-color-p' to nil for printing on black-and-white
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4609 printers.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4610
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4611
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4612 OPTIONS:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4613 User options allow customization of VHDL Mode. All options are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4614 accessible from the \"Options\" menu entry. Simple options (switches
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4615 and choices) can directly be changed, while for complex options a
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4616 customization buffer is opened. Changed options can be saved for future
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4617 sessions using the \"Save Options\" menu entry.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4618
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4619 Options and their detailed descriptions can also be accessed by using
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4620 the \"Customize\" menu entry or the command `M-x customize-option' (`M-x
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4621 customize-group' for groups). Some customizations only take effect
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4622 after some action (read the NOTE in the option documentation).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4623 Customization can also be done globally (i.e. site-wide, read the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4624 INSTALL file).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4625
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4626 Not all options are described in this documentation, so go and see
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4627 what other useful user options there are (`M-x vhdl-customize' or menu)!
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4628
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4629
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4630 FILE EXTENSIONS:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4631 As default, files with extensions \".vhd\" and \".vhdl\" are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4632 automatically recognized as VHDL source files. To add an extension
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4633 \".xxx\", add the following line to your Emacs start-up file (`.emacs'):
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4634
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4635 \(setq auto-mode-alist (cons '(\"\\\\.xxx\\\\'\" . vhdl-mode) auto-mode-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4636
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4637
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4638 HINTS:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4639 - To start Emacs with open VHDL hierarchy browser without having to load
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4640 a VHDL file first, use the command:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4641
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4642 emacs -l vhdl-mode -f speedbar-frame-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4643
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4644 - Type `C-g C-g' to interrupt long operations or if Emacs hangs.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4645
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4646 - Some features only work on properly indented code.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4647
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4648
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4649 RELEASE NOTES:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4650 See also the release notes (menu) for added features in new releases.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4651
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4652
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4653 Maintenance:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4654 ------------
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4655
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4656 To submit a bug report, enter `M-x vhdl-submit-bug-report' within VHDL Mode.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4657 Add a description of the problem and include a reproducible test case.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4658
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4659 Questions and enhancement requests can be sent to <reto@gnu.org>.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4660
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4661 The `vhdl-mode-announce' mailing list informs about new VHDL Mode releases.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4662 The `vhdl-mode-victims' mailing list informs about new VHDL Mode beta
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4663 releases. You are kindly invited to participate in beta testing. Subscribe
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4664 to above mailing lists by sending an email to <reto@gnu.org>.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4665
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4666 VHDL Mode is officially distributed at
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4667 http://opensource.ethz.ch/emacs/vhdl-mode.html
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4668 where the latest version can be found.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4669
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4670
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4671 Known problems:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4672 ---------------
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4673
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4674 - Indentation bug in simultaneous if- and case-statements (VHDL-AMS).
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4675 - XEmacs: Incorrect start-up when automatically opening speedbar.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4676 - XEmacs: Indentation in XEmacs 21.4 (and higher).
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4677
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4678
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4679 The VHDL Mode Authors
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4680 Reto Zimmermann and Rod Whitby
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4681
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4682 Key bindings:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4683 -------------
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4684
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4685 \\{vhdl-mode-map}"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4686 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4687 (kill-all-local-variables)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4688 (setq major-mode 'vhdl-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4689 (setq mode-name "VHDL")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4690
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4691 ;; set maps and tables
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4692 (use-local-map vhdl-mode-map)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4693 (set-syntax-table vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4694 (setq local-abbrev-table vhdl-mode-abbrev-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4695
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4696 ;; set local variables
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4697 (set (make-local-variable 'paragraph-start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4698 "\\s-*\\(--+\\s-*$\\|[^ -]\\|$\\)")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4699 (set (make-local-variable 'paragraph-separate) paragraph-start)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4700 (set (make-local-variable 'paragraph-ignore-fill-prefix) t)
59253
eb98fdac5466 (vhdl-mode): Use mode-require-final-newline.
Richard M. Stallman <rms@gnu.org>
parents: 57820
diff changeset
4701 (set (make-local-variable 'require-final-newline)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4702 (if vhdl-emacs-22 mode-require-final-newline t))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4703 (set (make-local-variable 'parse-sexp-ignore-comments) t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4704 (set (make-local-variable 'indent-line-function) 'vhdl-indent-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4705 (set (make-local-variable 'comment-start) "--")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4706 (set (make-local-variable 'comment-end) "")
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4707 (when vhdl-emacs-21
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4708 (set (make-local-variable 'comment-padding) ""))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4709 (set (make-local-variable 'comment-column) vhdl-inline-comment-column)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4710 (set (make-local-variable 'end-comment-column) vhdl-end-comment-column)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4711 (set (make-local-variable 'comment-start-skip) "--+\\s-*")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4712 (set (make-local-variable 'comment-multi-line) nil)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4713 (set (make-local-variable 'indent-tabs-mode) vhdl-indent-tabs-mode)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4714 (set (make-local-variable 'hippie-expand-verbose) nil)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4715
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4716 ;; setup the comment indent variable in a Emacs version portable way
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4717 ;; ignore any byte compiler warnings you might get here
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4718 (when (boundp 'comment-indent-function)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4719 (make-local-variable 'comment-indent-function)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4720 (setq comment-indent-function 'vhdl-comment-indent))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4721
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4722 ;; initialize font locking
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4723 (set (make-local-variable 'font-lock-defaults)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4724 (list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4725 '(nil vhdl-font-lock-keywords) nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4726 (not vhdl-highlight-case-sensitive) '((?\_ . "w")) 'beginning-of-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4727 '(font-lock-syntactic-keywords . vhdl-font-lock-syntactic-keywords)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4728 (unless vhdl-emacs-21
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4729 (set (make-local-variable 'font-lock-support-mode) 'lazy-lock-mode)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4730 (set (make-local-variable 'lazy-lock-defer-contextually) nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4731 (set (make-local-variable 'lazy-lock-defer-on-the-fly) t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4732 ; (set (make-local-variable 'lazy-lock-defer-time) 0.1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4733 (set (make-local-variable 'lazy-lock-defer-on-scrolling) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4734 ; (turn-on-font-lock)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4735
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4736 ;; variables for source file compilation
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4737 (when vhdl-compile-use-local-error-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4738 (set (make-local-variable 'compilation-error-regexp-alist) nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4739 (set (make-local-variable 'compilation-file-regexp-alist) nil))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4740
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4741 ;; add index menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4742 (vhdl-index-menu-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4743 ;; add source file menu
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4744 (if vhdl-source-file-menu (vhdl-add-source-files-menu))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4745 ;; add VHDL menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4746 (easy-menu-add vhdl-mode-menu-list) ; for XEmacs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4747 (easy-menu-define vhdl-mode-menu vhdl-mode-map
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4748 "Menu keymap for VHDL Mode." vhdl-mode-menu-list)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4749 ;; initialize hideshow and add menu
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4750 (vhdl-hideshow-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4751 (run-hooks 'menu-bar-update-hook)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4752
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4753 ;; miscellaneous
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4754 (vhdl-ps-print-init)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4755 (vhdl-write-file-hooks-init)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4756 (vhdl-mode-line-update)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4757 (message "VHDL Mode %s.%s" vhdl-version
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4758 (if noninteractive "" " See menu for documentation and release notes."))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4759
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4760 ;; run hooks
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4761 (if vhdl-emacs-22
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4762 (run-mode-hooks 'vhdl-mode-hook)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
4763 (run-hooks 'vhdl-mode-hook)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4764
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4765 (defun vhdl-activate-customizations ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4766 "Activate all customizations on local variables."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4767 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4768 (vhdl-mode-map-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4769 (use-local-map vhdl-mode-map)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4770 (set-syntax-table vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4771 (setq comment-column vhdl-inline-comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4772 (setq end-comment-column vhdl-end-comment-column)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4773 (vhdl-write-file-hooks-init)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4774 (vhdl-update-mode-menu)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4775 (vhdl-hideshow-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4776 (run-hooks 'menu-bar-update-hook)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4777 (vhdl-mode-line-update))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4778
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4779 (defun vhdl-write-file-hooks-init ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4780 "Add/remove hooks when buffer is saved."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4781 (if vhdl-modify-date-on-saving
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4782 (add-hook 'local-write-file-hooks 'vhdl-template-modify-noerror)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4783 (remove-hook 'local-write-file-hooks 'vhdl-template-modify-noerror))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4784 (make-local-variable 'after-save-hook)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4785 (add-hook 'after-save-hook 'vhdl-add-modified-file))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4786
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4787 (defun vhdl-process-command-line-option (option)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4788 "Process command line options for VHDL Mode."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4789 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4790 ;; set compiler
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4791 ((equal option "-compiler")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4792 (vhdl-set-compiler (car command-line-args-left))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4793 (setq command-line-args-left (cdr command-line-args-left)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4794 ;; set project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4795 ((equal option "-project")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4796 (vhdl-set-project (car command-line-args-left))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4797 (setq command-line-args-left (cdr command-line-args-left)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4798
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4799 ;; make Emacs process VHDL Mode options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4800 (setq command-switch-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4801 (append command-switch-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4802 '(("-compiler" . vhdl-process-command-line-option)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4803 ("-project" . vhdl-process-command-line-option))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4804
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4805
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4806 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4807 ;;; Keywords and standardized words
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4808 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4809
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4810 (defconst vhdl-93-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4811 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4812 "abs" "access" "after" "alias" "all" "and" "architecture" "array"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4813 "assert" "attribute"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4814 "begin" "block" "body" "buffer" "bus"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4815 "case" "component" "configuration" "constant"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4816 "disconnect" "downto"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4817 "else" "elsif" "end" "entity" "exit"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4818 "file" "for" "function"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4819 "generate" "generic" "group" "guarded"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4820 "if" "impure" "in" "inertial" "inout" "is"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4821 "label" "library" "linkage" "literal" "loop"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4822 "map" "mod"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4823 "nand" "new" "next" "nor" "not" "null"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4824 "of" "on" "open" "or" "others" "out"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4825 "package" "port" "postponed" "procedure" "process" "pure"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4826 "range" "record" "register" "reject" "rem" "report" "return"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4827 "rol" "ror"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4828 "select" "severity" "shared" "signal" "sla" "sll" "sra" "srl" "subtype"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4829 "then" "to" "transport" "type"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4830 "unaffected" "units" "until" "use"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4831 "variable"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4832 "wait" "when" "while" "with"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4833 "xnor" "xor"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4834 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4835 "List of VHDL'93 keywords.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4836
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4837 (defconst vhdl-ams-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4838 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4839 "across" "break" "limit" "nature" "noise" "procedural" "quantity"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4840 "reference" "spectrum" "subnature" "terminal" "through"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4841 "tolerance"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4842 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4843 "List of VHDL-AMS keywords.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4844
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4845 (defconst vhdl-verilog-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4846 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4847 "`define" "`else" "`endif" "`ifdef" "`include" "`timescale" "`undef"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4848 "always" "and" "assign" "begin" "buf" "bufif0" "bufif1"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4849 "case" "casex" "casez" "cmos" "deassign" "default" "defparam" "disable"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4850 "edge" "else" "end" "endattribute" "endcase" "endfunction" "endmodule"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4851 "endprimitive" "endspecify" "endtable" "endtask" "event"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4852 "for" "force" "forever" "fork" "function"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4853 "highz0" "highz1" "if" "initial" "inout" "input" "integer" "join" "large"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4854 "macromodule" "makefile" "medium" "module"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4855 "nand" "negedge" "nmos" "nor" "not" "notif0" "notif1" "or" "output"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4856 "parameter" "pmos" "posedge" "primitive" "pull0" "pull1" "pulldown"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4857 "pullup"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4858 "rcmos" "real" "realtime" "reg" "release" "repeat" "rnmos" "rpmos" "rtran"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4859 "rtranif0" "rtranif1"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4860 "scalared" "signed" "small" "specify" "specparam" "strength" "strong0"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4861 "strong1" "supply" "supply0" "supply1"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4862 "table" "task" "time" "tran" "tranif0" "tranif1" "tri" "tri0" "tri1"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4863 "triand" "trior" "trireg"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4864 "vectored" "wait" "wand" "weak0" "weak1" "while" "wire" "wor" "xnor" "xor"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4865 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4866 "List of Verilog keywords as candidate for additional reserved words.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4867
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4868 (defconst vhdl-93-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4869 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4870 "boolean" "bit" "bit_vector" "character" "severity_level" "integer"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4871 "real" "time" "natural" "positive" "string" "line" "text" "side"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4872 "unsigned" "signed" "delay_length" "file_open_kind" "file_open_status"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4873 "std_logic" "std_logic_vector"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4874 "std_ulogic" "std_ulogic_vector"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4875 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4876 "List of VHDL'93 standardized types.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4877
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4878 (defconst vhdl-ams-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4879 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4880 "domain_type" "real_vector"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4881 ;; from `nature_pkg' package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4882 "voltage" "current" "electrical" "position" "velocity" "force"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4883 "mechanical_vf" "mechanical_pf" "rotvel" "torque" "rotational"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4884 "pressure" "flowrate" "fluid"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4885 )
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4886 "List of VHDL-AMS standardized types.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4887
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4888 (defconst vhdl-math-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4889 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4890 "complex" "complex_polar"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4891 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4892 "List of Math Packages standardized types.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4893
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4894 (defconst vhdl-93-attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4895 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4896 "base" "left" "right" "high" "low" "pos" "val" "succ"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4897 "pred" "leftof" "rightof" "range" "reverse_range"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4898 "length" "delayed" "stable" "quiet" "transaction"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4899 "event" "active" "last_event" "last_active" "last_value"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4900 "driving" "driving_value" "ascending" "value" "image"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4901 "simple_name" "instance_name" "path_name"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4902 "foreign"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4903 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4904 "List of VHDL'93 standardized attributes.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4905
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4906 (defconst vhdl-ams-attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4907 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4908 "across" "through"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4909 "reference" "contribution" "tolerance"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4910 "dot" "integ" "delayed" "above" "zoh" "ltf" "ztf"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4911 "ramp" "slew"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4912 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4913 "List of VHDL-AMS standardized attributes.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4914
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4915 (defconst vhdl-93-enum-values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4916 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4917 "true" "false"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4918 "note" "warning" "error" "failure"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4919 "read_mode" "write_mode" "append_mode"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4920 "open_ok" "status_error" "name_error" "mode_error"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4921 "fs" "ps" "ns" "us" "ms" "sec" "min" "hr"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4922 "right" "left"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4923 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4924 "List of VHDL'93 standardized enumeration values.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4925
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4926 (defconst vhdl-ams-enum-values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4927 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4928 "quiescent_domain" "time_domain" "frequency_domain"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4929 ;; from `nature_pkg' package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4930 "eps0" "mu0" "ground" "mecvf_gnd" "mecpf_gnd" "rot_gnd" "fld_gnd"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4931 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4932 "List of VHDL-AMS standardized enumeration values.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4933
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4934 (defconst vhdl-math-constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4935 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4936 "math_e" "math_1_over_e"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4937 "math_pi" "math_two_pi" "math_1_over_pi"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4938 "math_half_pi" "math_q_pi" "math_3_half_pi"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4939 "math_log_of_2" "math_log_of_10" "math_log2_of_e" "math_log10_of_e"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4940 "math_sqrt2" "math_sqrt1_2" "math_sqrt_pi"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4941 "math_deg_to_rad" "math_rad_to_deg"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4942 "cbase_1" "cbase_j" "czero"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4943 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4944 "List of Math Packages standardized constants.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4945
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4946 (defconst vhdl-93-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4947 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4948 "now" "resolved" "rising_edge" "falling_edge"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4949 "read" "readline" "write" "writeline" "endfile"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4950 "resize" "is_X" "std_match"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4951 "shift_left" "shift_right" "rotate_left" "rotate_right"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4952 "to_unsigned" "to_signed" "to_integer"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4953 "to_stdLogicVector" "to_stdULogic" "to_stdULogicVector"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4954 "to_bit" "to_bitVector" "to_X01" "to_X01Z" "to_UX01" "to_01"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4955 "conv_unsigned" "conv_signed" "conv_integer" "conv_std_logic_vector"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4956 "shl" "shr" "ext" "sxt"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4957 "deallocate"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4958 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4959 "List of VHDL'93 standardized functions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4960
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4961 (defconst vhdl-ams-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4962 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4963 "frequency"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4964 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4965 "List of VHDL-AMS standardized functions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4966
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4967 (defconst vhdl-math-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4968 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4969 "sign" "ceil" "floor" "round" "trunc" "fmax" "fmin" "uniform"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4970 "sqrt" "cbrt" "exp" "log"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4971 "sin" "cos" "tan" "arcsin" "arccos" "arctan"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4972 "sinh" "cosh" "tanh" "arcsinh" "arccosh" "arctanh"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4973 "cmplx" "complex_to_polar" "polar_to_complex" "arg" "conj"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4974 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4975 "List of Math Packages standardized functions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4976
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4977 (defconst vhdl-93-packages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4978 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4979 "std_logic_1164" "numeric_std" "numeric_bit"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4980 "standard" "textio"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4981 "std_logic_arith" "std_logic_signed" "std_logic_unsigned"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4982 "std_logic_misc" "std_logic_textio"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4983 "ieee" "std" "work"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4984 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4985 "List of VHDL'93 standardized packages and libraries.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4986
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4987 (defconst vhdl-ams-packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4988 '(
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4989 ;; from `nature_pkg' package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4990 "nature_pkg"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4991 )
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4992 "List of VHDL-AMS standardized packages and libraries.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
4993
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4994 (defconst vhdl-math-packages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4995 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4996 "math_real" "math_complex"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4997 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4998 "List of Math Packages standardized packages and libraries.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4999
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5000 (defvar vhdl-keywords nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5001 "List of VHDL keywords.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5002
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5003 (defvar vhdl-types nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5004 "List of VHDL standardized types.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5005
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5006 (defvar vhdl-attributes nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5007 "List of VHDL standardized attributes.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5008
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5009 (defvar vhdl-enum-values nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5010 "List of VHDL standardized enumeration values.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5011
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5012 (defvar vhdl-constants nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5013 "List of VHDL standardized constants.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5014
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5015 (defvar vhdl-functions nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5016 "List of VHDL standardized functions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5017
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5018 (defvar vhdl-packages nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5019 "List of VHDL standardized packages and libraries.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5020
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5021 (defvar vhdl-reserved-words nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5022 "List of additional reserved words.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5023
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5024 (defvar vhdl-keywords-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5025 "Regexp for VHDL keywords.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5026
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5027 (defvar vhdl-types-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5028 "Regexp for VHDL standardized types.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5029
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5030 (defvar vhdl-attributes-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5031 "Regexp for VHDL standardized attributes.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5032
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5033 (defvar vhdl-enum-values-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5034 "Regexp for VHDL standardized enumeration values.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5035
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5036 (defvar vhdl-functions-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5037 "Regexp for VHDL standardized functions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5038
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5039 (defvar vhdl-packages-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5040 "Regexp for VHDL standardized packages and libraries.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5041
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5042 (defvar vhdl-reserved-words-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5043 "Regexp for additional reserved words.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5044
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5045 (defvar vhdl-directive-keywords-regexp nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5046 "Regexp for compiler directive keywords.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5047
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5048 (defun vhdl-words-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5049 "Initialize reserved words."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5050 (setq vhdl-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5051 (append vhdl-93-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5052 (when (vhdl-standard-p 'ams) vhdl-ams-keywords)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5053 (setq vhdl-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5054 (append vhdl-93-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5055 (when (vhdl-standard-p 'ams) vhdl-ams-types)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5056 (when (vhdl-standard-p 'math) vhdl-math-types)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5057 (setq vhdl-attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5058 (append vhdl-93-attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5059 (when (vhdl-standard-p 'ams) vhdl-ams-attributes)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5060 (setq vhdl-enum-values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5061 (append vhdl-93-enum-values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5062 (when (vhdl-standard-p 'ams) vhdl-ams-enum-values)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5063 (setq vhdl-constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5064 (append (when (vhdl-standard-p 'math) vhdl-math-constants)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5065 (setq vhdl-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5066 (append vhdl-93-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5067 (when (vhdl-standard-p 'ams) vhdl-ams-functions)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5068 (when (vhdl-standard-p 'math) vhdl-math-functions)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5069 (setq vhdl-packages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5070 (append vhdl-93-packages
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5071 (when (vhdl-standard-p 'ams) vhdl-ams-packages)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5072 (when (vhdl-standard-p 'math) vhdl-math-packages)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5073 (setq vhdl-reserved-words
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5074 (append (when vhdl-highlight-forbidden-words vhdl-forbidden-words)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5075 (when vhdl-highlight-verilog-keywords vhdl-verilog-keywords)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5076 '("")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5077 (setq vhdl-keywords-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5078 (concat "\\<\\(" (regexp-opt vhdl-keywords) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5079 (setq vhdl-types-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5080 (concat "\\<\\(" (regexp-opt vhdl-types) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5081 (setq vhdl-attributes-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5082 (concat "\\<\\(" (regexp-opt vhdl-attributes) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5083 (setq vhdl-enum-values-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5084 (concat "\\<\\(" (regexp-opt vhdl-enum-values) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5085 (setq vhdl-functions-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5086 (concat "\\<\\(" (regexp-opt vhdl-functions) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5087 (setq vhdl-packages-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5088 (concat "\\<\\(" (regexp-opt vhdl-packages) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5089 (setq vhdl-reserved-words-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5090 (concat "\\<\\("
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5091 (unless (equal vhdl-forbidden-syntax "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5092 (concat vhdl-forbidden-syntax "\\|"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5093 (regexp-opt vhdl-reserved-words)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5094 "\\)\\>"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5095 (setq vhdl-directive-keywords-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5096 (concat "\\<\\(" (mapconcat 'regexp-quote
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5097 vhdl-directive-keywords "\\|") "\\)\\>"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5098 (vhdl-abbrev-list-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5099
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5100 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5101 ;; Words to expand
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5102
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5103 (defvar vhdl-abbrev-list nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5104 "Predefined abbreviations for VHDL.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5105
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5106 (defun vhdl-abbrev-list-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5107 (setq vhdl-abbrev-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5108 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5109 (list vhdl-upper-case-keywords) vhdl-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5110 (list vhdl-upper-case-types) vhdl-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5111 (list vhdl-upper-case-attributes) vhdl-attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5112 (list vhdl-upper-case-enum-values) vhdl-enum-values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5113 (list vhdl-upper-case-constants) vhdl-constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5114 (list nil) vhdl-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5115 (list nil) vhdl-packages)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5116
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5117 ;; initialize reserved words for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5118 (vhdl-words-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5119
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5120
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5121 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5122 ;;; Indentation
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5123 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5124
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5125 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5126 ;; Syntax analysis
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5127
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5128 ;; constant regular expressions for looking at various constructs
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5129
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5130 (defconst vhdl-symbol-key "\\(\\w\\|\\s_\\)+"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5131 "Regexp describing a VHDL symbol.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5132 We cannot use just `word' syntax class since `_' cannot be in word
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5133 class. Putting underscore in word class breaks forward word movement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5134 behavior that users are familiar with.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5135
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5136 (defconst vhdl-case-header-key "case[( \t\n][^;=>]+[) \t\n]is"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5137 "Regexp describing a case statement header key.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5138
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5139 (defconst vhdl-label-key
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5140 (concat "\\(" vhdl-symbol-key "\\s-*:\\)[^=]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5141 "Regexp describing a VHDL label.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5142
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5143 ;; Macro definitions:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5144
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5145 (defmacro vhdl-point (position)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5146 "Return the value of point at certain commonly referenced POSITIONs.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5147 POSITION can be one of the following symbols:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5148
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5149 bol -- beginning of line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5150 eol -- end of line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5151 bod -- beginning of defun
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5152 boi -- back to indentation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5153 eoi -- last whitespace on line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5154 ionl -- indentation of next line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5155 iopl -- indentation of previous line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5156 bonl -- beginning of next line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5157 bopl -- beginning of previous line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5158
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5159 This function does not modify point or mark."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5160 (or (and (eq 'quote (car-safe position))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5161 (null (cddr position)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5162 (error "ERROR: Bad buffer position requested: %s" position))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5163 (setq position (nth 1 position))
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5164 `(let ((here (point)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5165 ,@(cond
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5166 ((eq position 'bol) '((beginning-of-line)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5167 ((eq position 'eol) '((end-of-line)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5168 ((eq position 'bod) '((save-match-data
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5169 (vhdl-beginning-of-defun))))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5170 ((eq position 'boi) '((back-to-indentation)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5171 ((eq position 'eoi) '((end-of-line) (skip-chars-backward " \t")))
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5172 ((eq position 'bonl) '((forward-line 1)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5173 ((eq position 'bopl) '((forward-line -1)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5174 ((eq position 'iopl)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5175 '((forward-line -1)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5176 (back-to-indentation)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5177 ((eq position 'ionl)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5178 '((forward-line 1)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5179 (back-to-indentation)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5180 (t (error "ERROR: Unknown buffer position requested: %s" position))
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5181 )
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5182 (prog1
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5183 (point)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5184 (goto-char here))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5185 ;; workaround for an Emacs18 bug -- blech! Well, at least it
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5186 ;; doesn't hurt for v19
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5187 ,@nil
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5188 ))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5189
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5190 (defmacro vhdl-safe (&rest body)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5191 "Safely execute BODY, return nil if an error occurred."
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5192 `(condition-case nil
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5193 (progn ,@body)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5194 (error nil)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5195
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5196 (defmacro vhdl-add-syntax (symbol &optional relpos)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5197 "A simple macro to append the syntax in SYMBOL to the syntax list.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5198 Try to increase performance by using this macro."
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5199 `(setq vhdl-syntactic-context
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5200 (cons (cons ,symbol ,relpos) vhdl-syntactic-context)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5201
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5202 (defmacro vhdl-has-syntax (symbol)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5203 "A simple macro to return check the syntax list.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5204 Try to increase performance by using this macro."
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
5205 `(assoc ,symbol vhdl-syntactic-context))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5206
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5207 ;; Syntactic element offset manipulation:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5208
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5209 (defun vhdl-read-offset (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5210 "Read new offset value for LANGELEM from minibuffer.
60925
a9c571ede913 * progmodes/cperl-mode.el, progmodes/idlw-shell.el,
Werner LEMBERG <wl@gnu.org>
parents: 59253
diff changeset
5211 Return a valid value only."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5212 (let ((oldoff (format "%s" (cdr-safe (assq langelem vhdl-offsets-alist))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5213 (errmsg "Offset must be int, func, var, or one of +, -, ++, --: ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5214 (prompt "Offset: ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5215 offset input interned)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5216 (while (not offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5217 (setq input (read-string prompt oldoff)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5218 offset (cond ((string-equal "+" input) '+)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5219 ((string-equal "-" input) '-)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5220 ((string-equal "++" input) '++)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5221 ((string-equal "--" input) '--)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5222 ((string-match "^-?[0-9]+$" input)
62402
a7e02ef1e3d6 Replace `string-to-int' by `string-to-number'.
Juanma Barranquero <lekktu@gmail.com>
parents: 61431
diff changeset
5223 (string-to-number input))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5224 ((fboundp (setq interned (intern input)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5225 interned)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5226 ((boundp interned) interned)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5227 ;; error, but don't signal one, keep trying
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5228 ;; to read an input value
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5229 (t (ding)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5230 (setq prompt errmsg)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5231 nil))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5232 offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5233
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5234 (defun vhdl-set-offset (symbol offset &optional add-p)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5235 "Change the value of a syntactic element symbol in `vhdl-offsets-alist'.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5236 SYMBOL is the syntactic element symbol to change and OFFSET is the new
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5237 offset for that syntactic element. Optional ADD says to add SYMBOL to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5238 `vhdl-offsets-alist' if it doesn't already appear there."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5239 (interactive
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5240 (let* ((langelem
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5241 (intern (completing-read
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5242 (concat "Syntactic symbol to change"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5243 (if current-prefix-arg " or add" "")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5244 ": ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5245 (mapcar
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5246 (function
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5247 (lambda (langelem)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5248 (cons (format "%s" (car langelem)) nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5249 vhdl-offsets-alist)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5250 nil (not current-prefix-arg)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5251 ;; initial contents tries to be the last element
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5252 ;; on the syntactic analysis list for the current
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5253 ;; line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5254 (let* ((syntax (vhdl-get-syntactic-context))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5255 (len (length syntax))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5256 (ic (format "%s" (car (nth (1- len) syntax)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5257 ic)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5258 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5259 (offset (vhdl-read-offset langelem)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5260 (list langelem offset current-prefix-arg)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5261 ;; sanity check offset
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5262 (or (eq offset '+)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5263 (eq offset '-)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5264 (eq offset '++)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5265 (eq offset '--)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5266 (integerp offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5267 (fboundp offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5268 (boundp offset)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5269 (error "ERROR: Offset must be int, func, var, or one of +, -, ++, --: %s"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5270 offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5271 (let ((entry (assq symbol vhdl-offsets-alist)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5272 (if entry
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5273 (setcdr entry offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5274 (if add-p
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5275 (setq vhdl-offsets-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5276 (cons (cons symbol offset) vhdl-offsets-alist))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5277 (error "ERROR: %s is not a valid syntactic symbol" symbol))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5278 (vhdl-keep-region-active))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5279
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5280 (defun vhdl-set-style (style &optional local)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5281 "Set `vhdl-mode' variables to use one of several different indentation styles.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5282 STYLE is a string representing the desired style and optional LOCAL is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5283 a flag which, if non-nil, means to make the style variables being
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5284 changed buffer local, instead of the default, which is to set the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5285 global variables. Interactively, the flag comes from the prefix
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5286 argument. The styles are chosen from the `vhdl-style-alist' variable."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5287 (interactive (list (completing-read "Use which VHDL indentation style? "
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5288 vhdl-style-alist nil t)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5289 current-prefix-arg))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5290 (let ((vars (cdr (assoc style vhdl-style-alist))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5291 (or vars
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5292 (error "ERROR: Invalid VHDL indentation style `%s'" style))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5293 ;; set all the variables
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5294 (mapcar
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5295 (function
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5296 (lambda (varentry)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5297 (let ((var (car varentry))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5298 (val (cdr varentry)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5299 (and local
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5300 (make-local-variable var))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5301 ;; special case for vhdl-offsets-alist
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5302 (if (not (eq var 'vhdl-offsets-alist))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5303 (set var val)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5304 ;; reset vhdl-offsets-alist to the default value first
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5305 (setq vhdl-offsets-alist (copy-alist vhdl-offsets-alist-default))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5306 ;; now set the langelems that are different
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5307 (mapcar
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5308 (function
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5309 (lambda (langentry)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5310 (let ((langelem (car langentry))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5311 (offset (cdr langentry)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5312 (vhdl-set-offset langelem offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5313 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5314 val))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5315 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5316 vars))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5317 (vhdl-keep-region-active))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5318
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5319 (defun vhdl-get-offset (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5320 "Get offset from LANGELEM which is a cons cell of the form:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5321 \(SYMBOL . RELPOS). The symbol is matched against
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5322 vhdl-offsets-alist and the offset found there is either returned,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5323 or added to the indentation at RELPOS. If RELPOS is nil, then
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5324 the offset is simply returned."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5325 (let* ((symbol (car langelem))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5326 (relpos (cdr langelem))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5327 (match (assq symbol vhdl-offsets-alist))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5328 (offset (cdr-safe match)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5329 ;; offset can be a number, a function, a variable, or one of the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5330 ;; symbols + or -
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5331 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5332 ((not match)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5333 (if vhdl-strict-syntax-p
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5334 (error "ERROR: Don't know how to indent a %s" symbol)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5335 (setq offset 0
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5336 relpos 0)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5337 ((eq offset '+) (setq offset vhdl-basic-offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5338 ((eq offset '-) (setq offset (- vhdl-basic-offset)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5339 ((eq offset '++) (setq offset (* 2 vhdl-basic-offset)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5340 ((eq offset '--) (setq offset (* 2 (- vhdl-basic-offset))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5341 ((and (not (numberp offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5342 (fboundp offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5343 (setq offset (funcall offset langelem)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5344 ((not (numberp offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5345 (setq offset (eval offset)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5346 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5347 (+ (if (and relpos
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5348 (< relpos (vhdl-point 'bol)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5349 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5350 (goto-char relpos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5351 (current-column))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5352 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5353 offset)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5354
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5355 ;; Syntactic support functions:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5356
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5357 (defun vhdl-in-comment-p ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5358 "Check if point is in a comment."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5359 (eq (vhdl-in-literal) 'comment))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5360
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5361 (defun vhdl-in-string-p ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5362 "Check if point is in a string."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5363 (eq (vhdl-in-literal) 'string))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5364
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5365 (defun vhdl-in-literal ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5366 "Determine if point is in a VHDL literal."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5367 (save-excursion
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5368 (let ((state (parse-partial-sexp (vhdl-point 'bol) (point))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5369 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5370 ((nth 3 state) 'string)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5371 ((nth 4 state) 'comment)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5372 ((vhdl-beginning-of-macro) 'pound)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5373 (t nil)))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5374
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5375 (defun vhdl-forward-comment (&optional direction)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5376 "Skip all comments (including whitespace). Skip backwards if DIRECTION is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5377 negative, skip forward otherwise."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5378 (interactive "p")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5379 (if (and direction (< direction 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5380 ;; skip backwards
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5381 (progn
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5382 (skip-chars-backward " \t\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5383 (while (re-search-backward "^[^\"-]*\\(\\(-?\"[^\"]*\"\\|-[^\"-]\\)[^\"-]*\\)*\\(--\\)" (vhdl-point 'bol) t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5384 (goto-char (match-beginning 3))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5385 (skip-chars-backward " \t\n")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5386 ;; skip forwards
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5387 (skip-chars-forward " \t\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5388 (while (looking-at "--.*")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5389 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5390 (skip-chars-forward " \t\n"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5391
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5392 ;; XEmacs hack: work around buggy `forward-comment' in XEmacs 21.4+
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5393 (unless (and vhdl-xemacs (string< "21.2" emacs-version))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5394 (defalias 'vhdl-forward-comment 'forward-comment))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5395
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5396 ;; This is the best we can do in Win-Emacs.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5397 (defun vhdl-win-il (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5398 "Determine if point is in a VHDL literal."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5399 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5400 (let* ((here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5401 (state nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5402 (match nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5403 (lim (or lim (vhdl-point 'bod))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5404 (goto-char lim )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5405 (while (< (point) here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5406 (setq match
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5407 (and (re-search-forward "--\\|[\"']"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5408 here 'move)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5409 (buffer-substring (match-beginning 0) (match-end 0))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5410 (setq state
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5411 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5412 ;; no match
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5413 ((null match) nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5414 ;; looking at the opening of a VHDL style comment
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5415 ((string= "--" match)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5416 (if (<= here (progn (end-of-line) (point))) 'comment))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5417 ;; looking at the opening of a double quote string
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5418 ((string= "\"" match)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5419 (if (not (save-restriction
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5420 ;; this seems to be necessary since the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5421 ;; re-search-forward will not work without it
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5422 (narrow-to-region (point) here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5423 (re-search-forward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5424 ;; this regexp matches a double quote
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5425 ;; which is preceded by an even number
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5426 ;; of backslashes, including zero
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5427 "\\([^\\]\\|^\\)\\(\\\\\\\\\\)*\"" here 'move)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5428 'string))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5429 ;; looking at the opening of a single quote string
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5430 ((string= "'" match)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5431 (if (not (save-restriction
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5432 ;; see comments from above
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5433 (narrow-to-region (point) here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5434 (re-search-forward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5435 ;; this matches a single quote which is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5436 ;; preceded by zero or two backslashes.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5437 "\\([^\\]\\|^\\)\\(\\\\\\\\\\)?'"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5438 here 'move)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5439 'string))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5440 (t nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5441 ) ; end-while
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5442 state)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5443
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5444 (and (string-match "Win-Emacs" emacs-version)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5445 (fset 'vhdl-in-literal 'vhdl-win-il))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5446
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5447 ;; Skipping of "syntactic whitespace". Syntactic whitespace is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5448 ;; defined as lexical whitespace or comments. Search no farther back
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5449 ;; or forward than optional LIM. If LIM is omitted, (point-min) is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5450 ;; used for backward skipping, (point-max) is used for forward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5451 ;; skipping.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5452
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5453 (defun vhdl-forward-syntactic-ws (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5454 "Forward skip of syntactic whitespace."
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5455 (let* ((here (point-max))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5456 (hugenum (point-max)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5457 (while (/= here (point))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5458 (setq here (point))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5459 (vhdl-forward-comment hugenum)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5460 ;; skip preprocessor directives
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5461 (when (and (eq (char-after) ?#)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5462 (= (vhdl-point 'boi) (point)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5463 (while (and (eq (char-before (vhdl-point 'eol)) ?\\)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5464 (= (forward-line 1) 0)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5465 (end-of-line)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5466 (if lim (goto-char (min (point) lim)))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5467
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5468
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5469 ;; This is the best we can do in Win-Emacs.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5470 (defun vhdl-win-fsws (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5471 "Forward skip syntactic whitespace for Win-Emacs."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5472 (let ((lim (or lim (point-max)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5473 stop)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5474 (while (not stop)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5475 (skip-chars-forward " \t\n\r\f" lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5476 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5477 ;; vhdl comment
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5478 ((looking-at "--") (end-of-line))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5479 ;; none of the above
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5480 (t (setq stop t))))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5481
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5482 (and (string-match "Win-Emacs" emacs-version)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5483 (fset 'vhdl-forward-syntactic-ws 'vhdl-win-fsws))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5484
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5485 (defun vhdl-beginning-of-macro (&optional lim)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5486 "Go to the beginning of a cpp macro definition (nicked from `cc-engine')."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5487 (let ((here (point)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5488 (beginning-of-line)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5489 (while (eq (char-before (1- (point))) ?\\)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5490 (forward-line -1))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5491 (back-to-indentation)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5492 (if (and (<= (point) here)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5493 (eq (char-after) ?#))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5494 t
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5495 (goto-char here)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5496 nil)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5497
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5498 (defun vhdl-backward-syntactic-ws (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5499 "Backward skip over syntactic whitespace."
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5500 (let* ((here (point-min))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5501 (hugenum (- (point-max))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5502 (while (/= here (point))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5503 (setq here (point))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5504 (vhdl-forward-comment hugenum)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5505 (vhdl-beginning-of-macro))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5506 (if lim (goto-char (max (point) lim)))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5507
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5508 ;; This is the best we can do in Win-Emacs.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5509 (defun vhdl-win-bsws (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5510 "Backward skip syntactic whitespace for Win-Emacs."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5511 (let ((lim (or lim (vhdl-point 'bod)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5512 stop)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5513 (while (not stop)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5514 (skip-chars-backward " \t\n\r\f" lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5515 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5516 ;; vhdl comment
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5517 ((eq (vhdl-in-literal) 'comment)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5518 (skip-chars-backward "^-" lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5519 (skip-chars-backward "-" lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5520 (while (not (or (and (= (following-char) ?-)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5521 (= (char-after (1+ (point))) ?-))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5522 (<= (point) lim)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5523 (skip-chars-backward "^-" lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5524 (skip-chars-backward "-" lim)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5525 ;; none of the above
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5526 (t (setq stop t))))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5527
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5528 (and (string-match "Win-Emacs" emacs-version)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5529 (fset 'vhdl-backward-syntactic-ws 'vhdl-win-bsws))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5530
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5531 ;; Functions to help finding the correct indentation column:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5532
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5533 (defun vhdl-first-word (point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5534 "If the keyword at POINT is at boi, then return (current-column) at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5535 that point, else nil."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5536 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5537 (and (goto-char point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5538 (eq (point) (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5539 (current-column))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5540
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5541 (defun vhdl-last-word (point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5542 "If the keyword at POINT is at eoi, then return (current-column) at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5543 that point, else nil."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5544 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5545 (and (goto-char point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5546 (save-excursion (or (eq (progn (forward-sexp) (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5547 (vhdl-point 'eoi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5548 (looking-at "\\s-*\\(--\\)?")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5549 (current-column))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5550
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5551 ;; Core syntactic evaluation functions:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5552
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5553 (defconst vhdl-libunit-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5554 "\\b\\(architecture\\|configuration\\|entity\\|package\\)\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5555
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5556 (defun vhdl-libunit-p ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5557 (and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5558 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5559 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5560 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5561 (not (looking-at "is\\b[^_]")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5562 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5563 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5564 (and (not (looking-at "use\\b[^_]"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5565 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5566 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5567 (vhdl-forward-syntactic-ws)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5568 (/= (following-char) ?:))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5569 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5570
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5571 (defconst vhdl-defun-re
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5572 "\\b\\(architecture\\|block\\|configuration\\|entity\\|package\\|process\\|procedural\\|procedure\\|function\\)\\b[^_]")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5573
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5574 (defun vhdl-defun-p ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5575 (save-excursion
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5576 (if (looking-at "block\\|process\\|procedural")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5577 ;; "block", "process", "procedural":
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5578 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5579 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5580 (not (looking-at "end\\s-+\\w")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5581 ;; "architecture", "configuration", "entity",
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5582 ;; "package", "procedure", "function":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5583 t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5584
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5585 (defun vhdl-corresponding-defun ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5586 "If the word at the current position corresponds to a \"defun\"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5587 keyword, then return a string that can be used to find the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5588 corresponding \"begin\" keyword, else return nil."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5589 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5590 (and (looking-at vhdl-defun-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5591 (vhdl-defun-p)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5592 (if (looking-at "block\\|process\\|procedural")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5593 ;; "block", "process". "procedural:
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5594 (buffer-substring (match-beginning 0) (match-end 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5595 ;; "architecture", "configuration", "entity", "package",
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5596 ;; "procedure", "function":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5597 "is"))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5598
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5599 (defconst vhdl-begin-fwd-re
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5600 "\\b\\(is\\|begin\\|block\\|component\\|generate\\|then\\|else\\|loop\\|process\\|procedural\\|units\\|record\\|for\\)\\b\\([^_]\\|\\'\\)"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5601 "A regular expression for searching forward that matches all known
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5602 \"begin\" keywords.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5603
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5604 (defconst vhdl-begin-bwd-re
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5605 "\\b\\(is\\|begin\\|block\\|component\\|generate\\|then\\|else\\|loop\\|process\\|procedural\\|units\\|record\\|for\\)\\b[^_]"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5606 "A regular expression for searching backward that matches all known
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5607 \"begin\" keywords.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5608
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5609 (defun vhdl-begin-p (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5610 "Return t if we are looking at a real \"begin\" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5611 Assumes that the caller will make sure that we are looking at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5612 vhdl-begin-fwd-re, and are not inside a literal, and that we are not in
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5613 the middle of an identifier that just happens to contain a \"begin\"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5614 keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5615 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5616 ;; "[architecture|case|configuration|entity|package|
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5617 ;; procedure|function] ... is":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5618 ((and (looking-at "i")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5619 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5620 ;; Skip backward over first sexp (needed to skip over a
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5621 ;; procedure interface list, and is harmless in other
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5622 ;; situations). Note that we need "return" in the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5623 ;; following search list so that we don't run into
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5624 ;; semicolons in the function interface list.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5625 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5626 (let (foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5627 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5628 (re-search-backward
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5629 ";\\|\\b\\(architecture\\|case\\|configuration\\|entity\\|package\\|procedure\\|return\\|is\\|begin\\|process\\|procedural\\|block\\)\\b[^_]"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5630 lim 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5631 (if (or (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5632 (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5633 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5634 (setq foundp t))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5635 (and (/= (following-char) ?\;)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5636 (not (looking-at "is\\|begin\\|process\\|procedural\\|block")))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5637 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5638 ;; "begin", "then":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5639 ((looking-at "be\\|t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5640 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5641 ;; "else":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5642 ((and (looking-at "e")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5643 ;; make sure that the "else" isn't inside a
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5644 ;; conditional signal assignment.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5645 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5646 (re-search-backward ";\\|\\bwhen\\b[^_]" lim 'move)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5647 (or (eq (following-char) ?\;)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5648 (eq (point) lim))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5649 t)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5650 ;; "block", "generate", "loop", "process", "procedural",
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5651 ;; "units", "record":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5652 ((and (looking-at "bl\\|[glpur]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5653 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5654 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5655 (not (looking-at "end\\s-+\\w"))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5656 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5657 ;; "component":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5658 ((and (looking-at "c")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5659 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5660 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5661 (not (looking-at "end\\s-+\\w")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5662 ;; look out for the dreaded entity class in an attribute
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5663 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5664 (vhdl-backward-syntactic-ws lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5665 (/= (preceding-char) ?:)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5666 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5667 ;; "for" (inside configuration declaration):
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5668 ((and (looking-at "f")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5669 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5670 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5671 (not (looking-at "end\\s-+\\w")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5672 (vhdl-has-syntax 'configuration))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5673 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5674 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5675
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5676 (defun vhdl-corresponding-mid (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5677 (cond
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5678 ((looking-at "is\\|block\\|generate\\|process\\|procedural")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5679 "begin")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5680 ((looking-at "then")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5681 "<else>")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5682 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5683 "end")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5684
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5685 (defun vhdl-corresponding-end (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5686 "If the word at the current position corresponds to a \"begin\"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5687 keyword, then return a vector containing enough information to find
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5688 the corresponding \"end\" keyword, else return nil. The keyword to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5689 search forward for is aref 0. The column in which the keyword must
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5690 appear is aref 1 or nil if any column is suitable.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5691 Assumes that the caller will make sure that we are not in the middle
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5692 of an identifier that just happens to contain a \"begin\" keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5693 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5694 (and (looking-at vhdl-begin-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5695 (/= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5696 (not (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5697 (vhdl-begin-p lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5698 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5699 ;; "is", "generate", "loop":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5700 ((looking-at "[igl]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5701 (vector "end"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5702 (and (vhdl-last-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5703 (or (vhdl-first-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5704 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5705 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5706 (vhdl-backward-skip-label lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5707 (vhdl-first-word (point)))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5708 ;; "begin", "else", "for":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5709 ((looking-at "be\\|[ef]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5710 (vector "end"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5711 (and (vhdl-last-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5712 (or (vhdl-first-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5713 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5714 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5715 (vhdl-backward-skip-label lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5716 (vhdl-first-word (point)))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5717 ;; "component", "units", "record":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5718 ((looking-at "[cur]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5719 ;; The first end found will close the block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5720 (vector "end" nil))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5721 ;; "block", "process", "procedural":
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5722 ((looking-at "bl\\|p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5723 (vector "end"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5724 (or (vhdl-first-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5725 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5726 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5727 (vhdl-backward-skip-label lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5728 (vhdl-first-word (point))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5729 ;; "then":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5730 ((looking-at "t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5731 (vector "elsif\\|else\\|end\\s-+if"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5732 (and (vhdl-last-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5733 (or (vhdl-first-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5734 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5735 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5736 (vhdl-backward-skip-label lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5737 (vhdl-first-word (point)))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5738 ))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5739
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5740 (defconst vhdl-end-fwd-re "\\b\\(end\\|else\\|elsif\\)\\b\\([^_]\\|\\'\\)")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5741
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5742 (defconst vhdl-end-bwd-re "\\b\\(end\\|else\\|elsif\\)\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5743
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5744 (defun vhdl-end-p (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5745 "Return t if we are looking at a real \"end\" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5746 Assumes that the caller will make sure that we are looking at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5747 vhdl-end-fwd-re, and are not inside a literal, and that we are not in
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5748 the middle of an identifier that just happens to contain an \"end\"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5749 keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5750 (or (not (looking-at "else"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5751 ;; make sure that the "else" isn't inside a conditional signal
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5752 ;; assignment.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5753 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5754 (re-search-backward ";\\|\\bwhen\\b[^_]" lim 'move)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5755 (or (eq (following-char) ?\;)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5756 (eq (point) lim)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5757 (vhdl-in-literal)))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5758
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5759 (defun vhdl-corresponding-begin (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5760 "If the word at the current position corresponds to an \"end\"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5761 keyword, then return a vector containing enough information to find
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5762 the corresponding \"begin\" keyword, else return nil. The keyword to
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5763 search backward for is aref 0. The column in which the keyword must
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5764 appear is aref 1 or nil if any column is suitable. The supplementary
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5765 keyword to search forward for is aref 2 or nil if this is not
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5766 required. If aref 3 is t, then the \"begin\" keyword may be found in
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5767 the middle of a statement.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5768 Assumes that the caller will make sure that we are not in the middle
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5769 of an identifier that just happens to contain an \"end\" keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5770 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5771 (let (pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5772 (if (and (looking-at vhdl-end-fwd-re)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5773 (not (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5774 (vhdl-end-p lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5775 (if (looking-at "el")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5776 ;; "else", "elsif":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5777 (vector "if\\|elsif" (vhdl-first-word (point)) "then" nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5778 ;; "end ...":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5779 (setq pos (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5780 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5781 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5782 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5783 ;; "end if":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5784 ((looking-at "if\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5785 (vector "else\\|elsif\\|if"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5786 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5787 "else\\|then" nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5788 ;; "end component":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5789 ((looking-at "component\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5790 (vector (buffer-substring (match-beginning 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5791 (match-end 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5792 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5793 nil nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5794 ;; "end units", "end record":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5795 ((looking-at "\\(units\\|record\\)\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5796 (vector (buffer-substring (match-beginning 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5797 (match-end 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5798 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5799 nil t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5800 ;; "end block", "end process", "end procedural":
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5801 ((looking-at "\\(block\\|process\\|procedural\\)\\b[^_]")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5802 (vector "begin" (vhdl-first-word pos) nil nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5803 ;; "end case":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5804 ((looking-at "case\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5805 (vector "case" (vhdl-first-word pos) "is" nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5806 ;; "end generate":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5807 ((looking-at "generate\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5808 (vector "generate\\|for\\|if"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5809 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5810 "generate" nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5811 ;; "end loop":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5812 ((looking-at "loop\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5813 (vector "loop\\|while\\|for"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5814 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5815 "loop" nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5816 ;; "end for" (inside configuration declaration):
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5817 ((looking-at "for\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5818 (vector "for" (vhdl-first-word pos) nil nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5819 ;; "end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5820 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5821 (vector "begin\\|architecture\\|configuration\\|entity\\|package\\|procedure\\|function"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5822 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5823 ;; return an alist of (statement . keyword) mappings
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5824 '(
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5825 ;; "begin ... end [id]":
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5826 ("begin" . nil)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5827 ;; "architecture ... is ... begin ... end [id]":
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5828 ("architecture" . "is")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5829 ;; "configuration ... is ... end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5830 ("configuration" . "is")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5831 ;; "entity ... is ... end [id]":
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5832 ("entity" . "is")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5833 ;; "package ... is ... end [id]":
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5834 ("package" . "is")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5835 ;; "procedure ... is ... begin ... end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5836 ("procedure" . "is")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5837 ;; "function ... is ... begin ... end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5838 ("function" . "is")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5839 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5840 nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5841 ))) ; "end ..."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5842 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5843
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5844 (defconst vhdl-leader-re
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5845 "\\b\\(block\\|component\\|process\\|procedural\\|for\\)\\b[^_]")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5846
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5847 (defun vhdl-end-of-leader ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5848 (save-excursion
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5849 (cond ((looking-at "block\\|process\\|procedural")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5850 (if (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5851 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5852 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5853 (= (following-char) ?\())
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5854 (forward-sexp 2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5855 (forward-sexp))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5856 (when (looking-at "[ \t\n]*is")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5857 (goto-char (match-end 0)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5858 (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5859 ((looking-at "component")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5860 (forward-sexp 2)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5861 (when (looking-at "[ \t\n]*is")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5862 (goto-char (match-end 0)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5863 (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5864 ((looking-at "for")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5865 (forward-sexp 2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5866 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5867 (while (looking-at "[,:(]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5868 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5869 (skip-chars-forward " \t\n"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5870 (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5871 (t nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5872 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5873
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5874 (defconst vhdl-trailer-re
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
5875 "\\b\\(is\\|then\\|generate\\|loop\\|record\\)\\b[^_]")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5876
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5877 (defconst vhdl-statement-fwd-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5878 "\\b\\(if\\|for\\|while\\)\\b\\([^_]\\|\\'\\)"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5879 "A regular expression for searching forward that matches all known
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5880 \"statement\" keywords.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5881
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5882 (defconst vhdl-statement-bwd-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5883 "\\b\\(if\\|for\\|while\\)\\b[^_]"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5884 "A regular expression for searching backward that matches all known
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5885 \"statement\" keywords.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5886
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5887 (defun vhdl-statement-p (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5888 "Return t if we are looking at a real \"statement\" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5889 Assumes that the caller will make sure that we are looking at
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5890 vhdl-statement-fwd-re, and are not inside a literal, and that we are not
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5891 in the middle of an identifier that just happens to contain a
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5892 \"statement\" keyword."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5893 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5894 ;; "for" ... "generate":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5895 ((and (looking-at "f")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5896 ;; Make sure it's the start of a parameter specification.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5897 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5898 (forward-sexp 2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5899 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5900 (looking-at "in\\b[^_]"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5901 ;; Make sure it's not an "end for".
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5902 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5903 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5904 (not (looking-at "end\\s-+\\w"))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5905 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5906 ;; "if" ... "then", "if" ... "generate", "if" ... "loop":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5907 ((and (looking-at "i")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5908 ;; Make sure it's not an "end if".
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5909 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5910 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5911 (not (looking-at "end\\s-+\\w"))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5912 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5913 ;; "while" ... "loop":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5914 ((looking-at "w")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5915 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5916 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5917
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5918 (defconst vhdl-case-alternative-re "when[( \t\n][^;=>]+=>"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5919 "Regexp describing a case statement alternative key.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5920
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5921 (defun vhdl-case-alternative-p (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5922 "Return t if we are looking at a real case alternative.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5923 Assumes that the caller will make sure that we are looking at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5924 vhdl-case-alternative-re, and are not inside a literal, and that
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5925 we are not in the middle of an identifier that just happens to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5926 contain a \"when\" keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5927 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5928 (let (foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5929 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5930 (re-search-backward ";\\|<=" lim 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5931 (if (or (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5932 (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5933 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5934 (setq foundp t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5935 (or (eq (following-char) ?\;)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5936 (eq (point) lim)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5937 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5938
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5939 ;; Core syntactic movement functions:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5940
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5941 (defconst vhdl-b-t-b-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5942 (concat vhdl-begin-bwd-re "\\|" vhdl-end-bwd-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5943
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5944 (defun vhdl-backward-to-block (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5945 "Move backward to the previous \"begin\" or \"end\" keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5946 (let (foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5947 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5948 (re-search-backward vhdl-b-t-b-re lim 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5949 (if (or (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5950 (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5951 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5952 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5953 ;; "begin" keyword:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5954 ((and (looking-at vhdl-begin-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5955 (/= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5956 (vhdl-begin-p lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5957 (setq foundp 'begin))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5958 ;; "end" keyword:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5959 ((and (looking-at vhdl-end-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5960 (/= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5961 (vhdl-end-p lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5962 (setq foundp 'end))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5963 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5964 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5965 foundp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5966 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5967
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5968 (defun vhdl-forward-sexp (&optional count lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5969 "Move forward across one balanced expression (sexp).
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5970 With COUNT, do it that many times."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5971 (interactive "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5972 (let ((count (or count 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5973 (case-fold-search t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5974 end-vec target)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5975 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5976 (while (> count 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5977 ;; skip whitespace
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5978 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5979 ;; Check for an unbalanced "end" keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5980 (if (and (looking-at vhdl-end-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5981 (/= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5982 (not (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5983 (vhdl-end-p lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5984 (not (looking-at "else")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5985 (error
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
5986 "ERROR: Containing expression ends prematurely in vhdl-forward-sexp"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5987 ;; If the current keyword is a "begin" keyword, then find the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5988 ;; corresponding "end" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5989 (if (setq end-vec (vhdl-corresponding-end lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5990 (let (
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5991 ;; end-re is the statement keyword to search for
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5992 (end-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5993 (concat "\\b\\(" (aref end-vec 0) "\\)\\b\\([^_]\\|\\'\\)"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5994 ;; column is either the statement keyword target column
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5995 ;; or nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5996 (column (aref end-vec 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5997 (eol (vhdl-point 'eol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5998 foundp literal placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5999 ;; Look for the statement keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6000 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6001 (re-search-forward end-re nil t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6002 (setq placeholder (match-end 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6003 (goto-char (match-beginning 0)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6004 ;; If we are in a literal, or not in the right target
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6005 ;; column and not on the same line as the begin, then
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6006 ;; try again.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6007 (if (or (and column
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6008 (/= (current-indentation) column)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6009 (> (point) eol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6010 (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6011 (setq literal (vhdl-in-literal)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6012 (if (eq literal 'comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6013 (end-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6014 (forward-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6015 ;; An "else" keyword corresponds to both the opening brace
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6016 ;; of the following sexp and the closing brace of the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6017 ;; previous sexp.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6018 (if (not (looking-at "else"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6019 (goto-char placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6020 (setq foundp t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6021 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6022 (if (not foundp)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6023 (error "ERROR: Unbalanced keywords in vhdl-forward-sexp"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6024 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6025 ;; If the current keyword is not a "begin" keyword, then just
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6026 ;; perform the normal forward-sexp.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6027 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6028 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6029 (setq count (1- count))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6030 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6031 (setq target (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6032 (goto-char target)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6033 nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6034
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6035 (defun vhdl-backward-sexp (&optional count lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6036 "Move backward across one balanced expression (sexp).
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6037 With COUNT, do it that many times. LIM bounds any required backward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6038 searches."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6039 (interactive "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6040 (let ((count (or count 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6041 (case-fold-search t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6042 begin-vec target)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6043 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6044 (while (> count 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6045 ;; Perform the normal backward-sexp, unless we are looking at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6046 ;; "else" - an "else" keyword corresponds to both the opening brace
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6047 ;; of the following sexp and the closing brace of the previous sexp.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6048 (if (and (looking-at "else\\b\\([^_]\\|\\'\\)")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6049 (/= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6050 (not (vhdl-in-literal)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6051 nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6052 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6053 (if (and (looking-at vhdl-begin-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6054 (/= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6055 (not (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6056 (vhdl-begin-p lim))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6057 (error "ERROR: Containing expression ends prematurely in vhdl-backward-sexp")))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6058 ;; If the current keyword is an "end" keyword, then find the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6059 ;; corresponding "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6060 (if (and (setq begin-vec (vhdl-corresponding-begin lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6061 (/= (preceding-char) ?_))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6062 (let (
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6063 ;; begin-re is the statement keyword to search for
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6064 (begin-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6065 (concat "\\b\\(" (aref begin-vec 0) "\\)\\b[^_]"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6066 ;; column is either the statement keyword target column
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6067 ;; or nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6068 (column (aref begin-vec 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6069 ;; internal-p controls where the statement keyword can
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6070 ;; be found.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6071 (internal-p (aref begin-vec 3))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6072 (last-backward (point)) last-forward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6073 foundp literal keyword)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6074 ;; Look for the statement keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6075 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6076 (re-search-backward begin-re lim t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6077 (setq keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6078 (buffer-substring (match-beginning 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6079 (match-end 1))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6080 ;; If we are in a literal or in the wrong column,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6081 ;; then try again.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6082 (if (or (and column
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6083 (and (/= (current-indentation) column)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6084 ;; possibly accept current-column as
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6085 ;; well as current-indentation.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6086 (or (not internal-p)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6087 (/= (current-column) column))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6088 (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6089 (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6090 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6091 ;; If there is a supplementary keyword, then
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6092 ;; search forward for it.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6093 (if (and (setq begin-re (aref begin-vec 2))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6094 (or (not (listp begin-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6095 ;; If begin-re is an alist, then find the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6096 ;; element corresponding to the actual
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6097 ;; keyword that we found.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6098 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6099 (setq begin-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6100 (assoc keyword begin-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6101 (and begin-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6102 (setq begin-re (cdr begin-re))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6103 (and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6104 (setq begin-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6105 (concat "\\b\\(" begin-re "\\)\\b[^_]"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6106 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6107 (setq last-forward (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6108 ;; Look for the supplementary keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6109 ;; (bounded by the backward search start
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6110 ;; point).
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6111 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6112 (re-search-forward begin-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6113 last-backward t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6114 (goto-char (match-beginning 1)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6115 ;; If we are in a literal, then try again.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6116 (if (or (= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6117 (setq literal
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6118 (vhdl-in-literal)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6119 (if (eq literal 'comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6120 (goto-char
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6121 (min (vhdl-point 'eol) last-backward))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6122 (forward-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6123 ;; We have found the supplementary keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6124 ;; Save the position of the keyword in foundp.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6125 (setq foundp (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6126 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6127 foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6128 ;; If the supplementary keyword was found, then
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6129 ;; move point to the supplementary keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6130 (goto-char foundp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6131 ;; If there was no supplementary keyword, then
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6132 ;; point is already at the statement keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6133 (setq foundp t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6134 ) ; end of the search for the statement keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6135 (if (not foundp)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6136 (error "ERROR: Unbalanced keywords in vhdl-backward-sexp"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6137 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6138 (setq count (1- count))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6139 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6140 (setq target (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6141 (goto-char target)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6142 nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6143
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6144 (defun vhdl-backward-up-list (&optional count limit)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6145 "Move backward out of one level of blocks.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6146 With argument, do this that many times."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6147 (interactive "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6148 (let ((count (or count 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6149 target)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6150 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6151 (while (> count 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6152 (if (looking-at vhdl-defun-re)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6153 (error "ERROR: Unbalanced blocks"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6154 (vhdl-backward-to-block limit)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6155 (setq count (1- count)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6156 (setq target (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6157 (goto-char target)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6158
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6159 (defun vhdl-end-of-defun (&optional count)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6160 "Move forward to the end of a VHDL defun."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6161 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6162 (let ((case-fold-search t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6163 (vhdl-beginning-of-defun)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6164 (if (not (looking-at "block\\|process\\|procedural"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6165 (re-search-forward "\\bis\\b"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6166 (vhdl-forward-sexp)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6167
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6168 (defun vhdl-mark-defun ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6169 "Put mark at end of this \"defun\", point at beginning."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6170 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6171 (let ((case-fold-search t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6172 (push-mark)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6173 (vhdl-beginning-of-defun)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6174 (push-mark)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6175 (if (not (looking-at "block\\|process\\|procedural"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6176 (re-search-forward "\\bis\\b"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6177 (vhdl-forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6178 (exchange-point-and-mark)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6179
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6180 (defun vhdl-beginning-of-libunit ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6181 "Move backward to the beginning of a VHDL library unit.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6182 Returns the location of the corresponding begin keyword, unless search
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6183 stops due to beginning or end of buffer.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6184 Note that if point is between the \"libunit\" keyword and the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6185 corresponding \"begin\" keyword, then that libunit will not be
63520
ba5c73889cbc (vhdl-beginning-of-libunit): Fix spelling in docstrings.
Juanma Barranquero <lekktu@gmail.com>
parents: 63490
diff changeset
6186 recognized, and the search will continue backwards. If point is
ba5c73889cbc (vhdl-beginning-of-libunit): Fix spelling in docstrings.
Juanma Barranquero <lekktu@gmail.com>
parents: 63490
diff changeset
6187 at the \"begin\" keyword, then the defun will be recognized. The
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6188 returned point is at the first character of the \"libunit\" keyword."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6189 (let ((last-forward (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6190 (last-backward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6191 ;; Just in case we are actually sitting on the "begin"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6192 ;; keyword, allow for the keyword and an extra character,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6193 ;; as this will be used when looking forward for the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6194 ;; "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6195 (save-excursion (forward-word 1) (1+ (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6196 foundp literal placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6197 ;; Find the "libunit" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6198 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6199 (re-search-backward vhdl-libunit-re nil 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6200 ;; If we are in a literal, or not at a real libunit, then try again.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6201 (if (or (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6202 (vhdl-in-literal)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6203 (not (vhdl-libunit-p)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6204 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6205 ;; Find the corresponding "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6206 (setq last-forward (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6207 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6208 (re-search-forward "\\bis\\b[^_]" last-backward t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6209 (setq placeholder (match-beginning 0)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6210 (if (or (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6211 (setq literal (vhdl-in-literal)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6212 ;; It wasn't a real keyword, so keep searching.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6213 (if (eq literal 'comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6214 (goto-char
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6215 (min (vhdl-point 'eol) last-backward))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6216 (forward-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6217 ;; We have found the begin keyword, loop will exit.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6218 (setq foundp placeholder)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6219 ;; Go back to the libunit keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6220 (goto-char last-forward)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6221 foundp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6222
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6223 (defun vhdl-beginning-of-defun (&optional count)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6224 "Move backward to the beginning of a VHDL defun.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6225 With argument, do it that many times.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6226 Returns the location of the corresponding begin keyword, unless search
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6227 stops due to beginning or end of buffer."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6228 ;; Note that if point is between the "defun" keyword and the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6229 ;; corresponding "begin" keyword, then that defun will not be
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
6230 ;; recognized, and the search will continue backwards. If point is
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
6231 ;; at the "begin" keyword, then the defun will be recognized. The
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6232 ;; returned point is at the first character of the "defun" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6233 (interactive "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6234 (let ((count (or count 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6235 (case-fold-search t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6236 (last-forward (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6237 foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6238 (while (> count 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6239 (setq foundp nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6240 (goto-char last-forward)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6241 (let ((last-backward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6242 ;; Just in case we are actually sitting on the "begin"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6243 ;; keyword, allow for the keyword and an extra character,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6244 ;; as this will be used when looking forward for the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6245 ;; "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6246 (save-excursion (forward-word 1) (1+ (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6247 begin-string literal)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6248 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6249 (re-search-backward vhdl-defun-re nil 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6250 ;; If we are in a literal, then try again.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6251 (if (or (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6252 (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6253 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6254 (if (setq begin-string (vhdl-corresponding-defun))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6255 ;; This is a real defun keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6256 ;; Find the corresponding "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6257 ;; Look for the begin keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6258 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6259 ;; Save the search start point.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6260 (setq last-forward (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6261 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6262 (search-forward begin-string last-backward t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6263 (if (or (= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6264 (save-match-data
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6265 (setq literal (vhdl-in-literal))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6266 ;; It wasn't a real keyword, so keep searching.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6267 (if (eq literal 'comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6268 (goto-char
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6269 (min (vhdl-point 'eol) last-backward))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6270 (forward-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6271 ;; We have found the begin keyword, loop will exit.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6272 (setq foundp (match-beginning 0)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6273 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6274 ;; Go back to the defun keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6275 (goto-char last-forward)) ; end search for begin keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6276 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6277 ) ; end of the search for the defun keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6278 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6279 (setq count (1- count))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6280 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6281 (vhdl-keep-region-active)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6282 foundp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6283
57820
5d471cc0eaab (vhdl-fill-region, vhdl-beginning-of-statement): Don't use interactive-p.
Richard M. Stallman <rms@gnu.org>
parents: 54770
diff changeset
6284 (defun vhdl-beginning-of-statement (&optional count lim interactive)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6285 "Go to the beginning of the innermost VHDL statement.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6286 With prefix arg, go back N - 1 statements. If already at the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6287 beginning of a statement then go to the beginning of the preceding
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6288 one. If within a string or comment, or next to a comment (only
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6289 whitespace between), move by sentences instead of statements.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6290
57820
5d471cc0eaab (vhdl-fill-region, vhdl-beginning-of-statement): Don't use interactive-p.
Richard M. Stallman <rms@gnu.org>
parents: 54770
diff changeset
6291 When called from a program, this function takes 3 optional args: the
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
6292 prefix arg, a buffer position limit which is the farthest back to
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
6293 search, and an argument indicating an interactive call."
57820
5d471cc0eaab (vhdl-fill-region, vhdl-beginning-of-statement): Don't use interactive-p.
Richard M. Stallman <rms@gnu.org>
parents: 54770
diff changeset
6294 (interactive "p\np")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6295 (let ((count (or count 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6296 (case-fold-search t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6297 (lim (or lim (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6298 (here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6299 state)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6300 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6301 (goto-char lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6302 (setq state (parse-partial-sexp (point) here nil nil)))
57820
5d471cc0eaab (vhdl-fill-region, vhdl-beginning-of-statement): Don't use interactive-p.
Richard M. Stallman <rms@gnu.org>
parents: 54770
diff changeset
6303 (if (and interactive
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6304 (or (nth 3 state)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6305 (nth 4 state)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6306 (looking-at (concat "[ \t]*" comment-start-skip))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6307 (forward-sentence (- count))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6308 (while (> count 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6309 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6310 (setq count (1- count))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6311 ;; its possible we've been left up-buf of lim
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6312 (goto-char (max (point) lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6313 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6314 (vhdl-keep-region-active))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6315
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6316 (defconst vhdl-e-o-s-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6317 (concat ";\\|" vhdl-begin-fwd-re "\\|" vhdl-statement-fwd-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6318
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6319 (defun vhdl-end-of-statement ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6320 "Very simple implementation."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6321 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6322 (re-search-forward vhdl-e-o-s-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6323
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6324 (defconst vhdl-b-o-s-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6325 (concat ";\\|\(\\|\)\\|\\bwhen\\b[^_]\\|"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6326 vhdl-begin-bwd-re "\\|" vhdl-statement-bwd-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6327
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6328 (defun vhdl-beginning-of-statement-1 (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6329 "Move to the start of the current statement, or the previous
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6330 statement if already at the beginning of one."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6331 (let ((lim (or lim (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6332 (here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6333 (pos (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6334 donep)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6335 ;; go backwards one balanced expression, but be careful of
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6336 ;; unbalanced paren being reached
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6337 (if (not (vhdl-safe (progn (backward-sexp) t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6338 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6339 (backward-up-list 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6340 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6341 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6342 (setq donep t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6343 (while (and (not donep)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6344 (not (bobp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6345 ;; look backwards for a statement boundary
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6346 (re-search-backward vhdl-b-o-s-re lim 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6347 (if (or (= (preceding-char) ?_)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6348 (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6349 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6350 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6351 ;; If we are looking at an open paren, then stop after it
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6352 ((eq (following-char) ?\()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6353 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6354 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6355 (setq donep t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6356 ;; If we are looking at a close paren, then skip it
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6357 ((eq (following-char) ?\))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6358 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6359 (setq pos (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6360 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6361 (if (< (point) lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6362 (progn (goto-char pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6363 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6364 (setq donep t))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6365 ;; If we are looking at a semicolon, then stop
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6366 ((eq (following-char) ?\;)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6367 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6368 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6369 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6370 (setq donep t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6371 ;; If we are looking at a "begin", then stop
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6372 ((and (looking-at vhdl-begin-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6373 (/= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6374 (vhdl-begin-p nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6375 ;; If it's a leader "begin", then find the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6376 ;; right place
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6377 (if (looking-at vhdl-leader-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6378 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6379 ;; set a default stop point at the begin
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6380 (setq pos (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6381 ;; is the start point inside the leader area ?
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6382 (goto-char (vhdl-end-of-leader))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6383 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6384 (if (< (point) here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6385 ;; start point was not inside leader area
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6386 ;; set stop point at word after leader
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6387 (setq pos (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6388 (forward-word 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6389 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6390 (setq pos (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6391 (goto-char pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6392 (setq donep t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6393 ;; If we are looking at a "statement", then stop
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6394 ((and (looking-at vhdl-statement-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6395 (/= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6396 (vhdl-statement-p nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6397 (setq donep t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6398 ;; If we are looking at a case alternative key, then stop
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6399 ((and (looking-at vhdl-case-alternative-re)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6400 (vhdl-case-alternative-p lim))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6401 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6402 ;; set a default stop point at the when
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6403 (setq pos (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6404 ;; is the start point inside the case alternative key ?
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6405 (looking-at vhdl-case-alternative-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6406 (goto-char (match-end 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6407 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6408 (if (< (point) here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6409 ;; start point was not inside the case alternative key
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6410 ;; set stop point at word after case alternative keyleader
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6411 (setq pos (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6412 (goto-char pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6413 (setq donep t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6414 ;; Bogus find, continue
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6415 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6416 (backward-char)))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6417 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6418
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6419 ;; Defuns for calculating the current syntactic state:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6420
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6421 (defun vhdl-get-library-unit (bod placeholder)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6422 "If there is an enclosing library unit at bod, with it's \"begin\"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6423 keyword at placeholder, then return the library unit type."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6424 (let ((here (vhdl-point 'bol)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6425 (if (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6426 (goto-char placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6427 (vhdl-safe (vhdl-forward-sexp 1 bod))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6428 (<= here (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6429 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6430 (goto-char bod)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6431 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6432 ((looking-at "e") 'entity)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6433 ((looking-at "a") 'architecture)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6434 ((looking-at "c") 'configuration)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6435 ((looking-at "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6436 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6437 (goto-char bod)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6438 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6439 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6440 (if (looking-at "body\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6441 'package-body 'package))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6442 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6443
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6444 (defun vhdl-get-block-state (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6445 "Finds and records all the closest opens.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6446 lim is the furthest back we need to search (it should be the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6447 previous libunit keyword)."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6448 (let ((here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6449 (lim (or lim (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6450 keyword sexp-start sexp-mid sexp-end
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6451 preceding-sexp containing-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6452 containing-begin containing-mid containing-paren)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6453 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6454 ;; Find the containing-paren, and use that as the limit
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6455 (if (setq containing-paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6456 (save-restriction
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6457 (narrow-to-region lim (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6458 (vhdl-safe (scan-lists (point) -1 1))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6459 (setq lim containing-paren))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6460 ;; Look backwards for "begin" and "end" keywords.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6461 (while (and (> (point) lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6462 (not containing-sexp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6463 (setq keyword (vhdl-backward-to-block lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6464 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6465 ((eq keyword 'begin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6466 ;; Found a "begin" keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6467 (setq sexp-start (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6468 (setq sexp-mid (vhdl-corresponding-mid lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6469 (setq sexp-end (vhdl-safe
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6470 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6471 (vhdl-forward-sexp 1 lim) (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6472 (if (and sexp-end (<= sexp-end here))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6473 ;; we want to record this sexp, but we only want to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6474 ;; record the last-most of any of them before here
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6475 (or preceding-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6476 (setq preceding-sexp sexp-start))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6477 ;; we're contained in this sexp so put sexp-start on
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6478 ;; front of list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6479 (setq containing-sexp sexp-start)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6480 (setq containing-mid sexp-mid)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6481 (setq containing-begin t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6482 ((eq keyword 'end)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6483 ;; Found an "end" keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6484 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6485 (setq sexp-end (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6486 (setq sexp-mid nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6487 (setq sexp-start
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6488 (or (vhdl-safe (vhdl-backward-sexp 1 lim) (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6489 (progn (backward-sexp) (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6490 ;; we want to record this sexp, but we only want to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6491 ;; record the last-most of any of them before here
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6492 (or preceding-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6493 (setq preceding-sexp sexp-start)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6494 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6495 ;; Check if the containing-paren should be the containing-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6496 (if (and containing-paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6497 (or (null containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6498 (< containing-sexp containing-paren)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6499 (setq containing-sexp containing-paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6500 preceding-sexp nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6501 containing-begin nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6502 containing-mid nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6503 (vector containing-sexp preceding-sexp containing-begin containing-mid)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6504 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6505
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6506
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6507 (defconst vhdl-s-c-a-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6508 (concat vhdl-case-alternative-re "\\|" vhdl-case-header-key))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6509
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6510 (defun vhdl-skip-case-alternative (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6511 "Skip forward over case/when bodies, with optional maximal
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6512 limit. If no next case alternative is found, nil is returned and point
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6513 is not moved."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6514 (let ((lim (or lim (point-max)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6515 (here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6516 donep foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6517 (while (and (< (point) lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6518 (not donep))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6519 (if (and (re-search-forward vhdl-s-c-a-re lim 'move)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6520 (save-match-data
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6521 (not (vhdl-in-literal)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6522 (/= (match-beginning 0) here))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6523 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6524 (goto-char (match-beginning 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6525 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6526 ((and (looking-at "case")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6527 (re-search-forward "\\bis[^_]" lim t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6528 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6529 (vhdl-forward-sexp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6530 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6531 (setq donep t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6532 foundp t))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6533 (if (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6534 (goto-char here))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6535 foundp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6536
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6537 (defun vhdl-backward-skip-label (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6538 "Skip backward over a label, with optional maximal
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6539 limit. If label is not found, nil is returned and point
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6540 is not moved."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6541 (let ((lim (or lim (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6542 placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6543 (if (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6544 (vhdl-backward-syntactic-ws lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6545 (and (eq (preceding-char) ?:)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6546 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6547 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6548 (setq placeholder (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6549 (looking-at vhdl-label-key))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6550 (goto-char placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6551 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6552
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6553 (defun vhdl-forward-skip-label (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6554 "Skip forward over a label, with optional maximal
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6555 limit. If label is not found, nil is returned and point
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6556 is not moved."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6557 (let ((lim (or lim (point-max))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6558 (if (looking-at vhdl-label-key)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6559 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6560 (goto-char (match-end 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6561 (vhdl-forward-syntactic-ws lim)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6562 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6563
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6564 (defun vhdl-get-syntactic-context ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6565 "Guess the syntactic description of the current line of VHDL code."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6566 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6567 (save-restriction
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6568 (beginning-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6569 (let* ((indent-point (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6570 (case-fold-search t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6571 vec literal containing-sexp preceding-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6572 containing-begin containing-mid containing-leader
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6573 char-before-ip char-after-ip begin-after-ip end-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6574 placeholder lim library-unit
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6575 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6576
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6577 ;; Reset the syntactic context
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6578 (setq vhdl-syntactic-context nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6579
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6580 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6581 ;; Move to the start of the previous library unit, and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6582 ;; record the position of the "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6583 (setq placeholder (vhdl-beginning-of-libunit))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6584 ;; The position of the "libunit" keyword gives us a gross
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6585 ;; limit point.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6586 (setq lim (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6587 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6588
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6589 ;; If there is a previous library unit, and we are enclosed by
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6590 ;; it, then set the syntax accordingly.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6591 (and placeholder
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6592 (setq library-unit (vhdl-get-library-unit lim placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6593 (vhdl-add-syntax library-unit lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6594
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6595 ;; Find the surrounding state.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6596 (if (setq vec (vhdl-get-block-state lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6597 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6598 (setq containing-sexp (aref vec 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6599 (setq preceding-sexp (aref vec 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6600 (setq containing-begin (aref vec 2))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6601 (setq containing-mid (aref vec 3))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6602 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6603
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6604 ;; set the limit on the farthest back we need to search
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6605 (setq lim (if containing-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6606 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6607 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6608 ;; set containing-leader if required
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6609 (if (looking-at vhdl-leader-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6610 (setq containing-leader (vhdl-end-of-leader)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6611 (vhdl-point 'bol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6612 (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6613
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6614 ;; cache char before and after indent point, and move point to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6615 ;; the most likely position to perform the majority of tests
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6616 (goto-char indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6617 (skip-chars-forward " \t")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6618 (setq literal (vhdl-in-literal))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6619 (setq char-after-ip (following-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6620 (setq begin-after-ip (and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6621 (not literal)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6622 (looking-at vhdl-begin-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6623 (vhdl-begin-p)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6624 (setq end-after-ip (and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6625 (not literal)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6626 (looking-at vhdl-end-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6627 (vhdl-end-p)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6628 (vhdl-backward-syntactic-ws lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6629 (setq char-before-ip (preceding-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6630 (goto-char indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6631 (skip-chars-forward " \t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6632
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6633 ;; now figure out syntactic qualities of the current line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6634 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6635 ;; CASE 1: in a string or comment.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6636 ((memq literal '(string comment))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6637 (vhdl-add-syntax literal (vhdl-point 'bopl)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6638 ;; CASE 2: Line is at top level.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6639 ((null containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6640 ;; Find the point to which indentation will be relative
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6641 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6642 (if (null preceding-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6643 ;; CASE 2X.1
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6644 ;; no preceding-sexp -> use the preceding statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6645 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6646 ;; CASE 2X.2
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6647 ;; if there is a preceding-sexp then indent relative to it
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6648 (goto-char preceding-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6649 ;; if not at boi, then the block-opening keyword is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6650 ;; probably following a label, so we need a different
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6651 ;; relpos
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6652 (if (/= (point) (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6653 ;; CASE 2X.3
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6654 (vhdl-beginning-of-statement-1 lim)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6655 ;; v-b-o-s could have left us at point-min
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6656 (and (bobp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6657 ;; CASE 2X.4
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6658 (vhdl-forward-syntactic-ws indent-point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6659 (setq placeholder (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6660 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6661 ;; CASE 2A : we are looking at a block-open
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6662 (begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6663 (vhdl-add-syntax 'block-open placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6664 ;; CASE 2B: we are looking at a block-close
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6665 (end-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6666 (vhdl-add-syntax 'block-close placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6667 ;; CASE 2C: we are looking at a top-level statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6668 ((progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6669 (vhdl-backward-syntactic-ws lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6670 (or (bobp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6671 (= (preceding-char) ?\;)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6672 (vhdl-add-syntax 'statement placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6673 ;; CASE 2D: we are looking at a top-level statement-cont
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6674 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6675 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6676 ;; v-b-o-s could have left us at point-min
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6677 (and (bobp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6678 ;; CASE 2D.1
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6679 (vhdl-forward-syntactic-ws indent-point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6680 (vhdl-add-syntax 'statement-cont (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6681 )) ; end CASE 2
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6682 ;; CASE 3: line is inside parentheses. Most likely we are
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6683 ;; either in a subprogram argument (interface) list, or a
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6684 ;; continued expression containing parentheses.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6685 ((null containing-begin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6686 (vhdl-backward-syntactic-ws containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6687 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6688 ;; CASE 3A: we are looking at the arglist closing paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6689 ((eq char-after-ip ?\))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6690 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6691 (vhdl-add-syntax 'arglist-close (vhdl-point 'boi)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6692 ;; CASE 3B: we are looking at the first argument in an empty
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6693 ;; argument list.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6694 ((eq char-before-ip ?\()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6695 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6696 (vhdl-add-syntax 'arglist-intro (vhdl-point 'boi)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6697 ;; CASE 3C: we are looking at an arglist continuation line,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6698 ;; but the preceding argument is on the same line as the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6699 ;; opening paren. This case includes multi-line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6700 ;; expression paren groupings.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6701 ((and (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6702 (goto-char (1+ containing-sexp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6703 (skip-chars-forward " \t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6704 (not (eolp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6705 (not (looking-at "--")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6706 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6707 (vhdl-beginning-of-statement-1 containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6708 (skip-chars-backward " \t(")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6709 (<= (point) containing-sexp)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6710 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6711 (vhdl-add-syntax 'arglist-cont-nonempty (vhdl-point 'boi)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6712 ;; CASE 3D: we are looking at just a normal arglist
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6713 ;; continuation line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6714 (t (vhdl-beginning-of-statement-1 containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6715 (vhdl-forward-syntactic-ws indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6716 (vhdl-add-syntax 'arglist-cont (vhdl-point 'boi)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6717 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6718 ;; CASE 4: A block mid open
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6719 ((and begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6720 (looking-at containing-mid))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6721 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6722 ;; If the \"begin\" keyword is a trailer, then find v-b-o-s
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6723 (if (looking-at vhdl-trailer-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6724 ;; CASE 4.1
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6725 (progn (forward-sexp) (vhdl-beginning-of-statement-1 nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6726 (vhdl-backward-skip-label (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6727 (vhdl-add-syntax 'block-open (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6728 ;; CASE 5: block close brace
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6729 (end-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6730 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6731 ;; If the \"begin\" keyword is a trailer, then find v-b-o-s
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6732 (if (looking-at vhdl-trailer-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6733 ;; CASE 5.1
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6734 (progn (forward-sexp) (vhdl-beginning-of-statement-1 nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6735 (vhdl-backward-skip-label (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6736 (vhdl-add-syntax 'block-close (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6737 ;; CASE 6: A continued statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6738 ((and (/= char-before-ip ?\;)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6739 ;; check it's not a trailer begin keyword, or a begin
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6740 ;; keyword immediately following a label.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6741 (not (and begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6742 (or (looking-at vhdl-trailer-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6743 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6744 (vhdl-backward-skip-label containing-sexp)))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6745 ;; check it's not a statement keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6746 (not (and (looking-at vhdl-statement-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6747 (vhdl-statement-p)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6748 ;; see if the b-o-s is before the indent point
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6749 (> indent-point
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6750 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6751 (vhdl-beginning-of-statement-1 containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6752 ;; If we ended up after a leader, then this will
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6753 ;; move us forward to the start of the first
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6754 ;; statement. Note that a containing sexp here is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6755 ;; always a keyword, not a paren, so this will
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6756 ;; have no effect if we hit the containing-sexp.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6757 (vhdl-forward-syntactic-ws indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6758 (setq placeholder (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6759 ;; check it's not a block-intro
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6760 (/= placeholder containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6761 ;; check it's not a case block-intro
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6762 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6763 (goto-char placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6764 (or (not (looking-at vhdl-case-alternative-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6765 (> (match-end 0) indent-point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6766 ;; Make placeholder skip a label, but only if it puts us
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6767 ;; before the indent point at the start of a line.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6768 (let ((new placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6769 (if (and (> indent-point
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6770 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6771 (goto-char placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6772 (vhdl-forward-skip-label indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6773 (setq new (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6774 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6775 (goto-char new)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6776 (eq new (progn (back-to-indentation) (point)))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6777 (setq placeholder new)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6778 (vhdl-add-syntax 'statement-cont placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6779 (if begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6780 (vhdl-add-syntax 'block-open)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6781 ;; Statement. But what kind?
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6782 ;; CASE 7: A case alternative key
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6783 ((and (looking-at vhdl-case-alternative-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6784 (vhdl-case-alternative-p containing-sexp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6785 ;; for a case alternative key, we set relpos to the first
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6786 ;; non-whitespace char on the line containing the "case"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6787 ;; keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6788 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6789 ;; If the \"begin\" keyword is a trailer, then find v-b-o-s
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6790 (if (looking-at vhdl-trailer-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6791 (progn (forward-sexp) (vhdl-beginning-of-statement-1 nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6792 (vhdl-add-syntax 'case-alternative (vhdl-point 'boi)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6793 ;; CASE 8: statement catchall
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6794 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6795 ;; we know its a statement, but we need to find out if it is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6796 ;; the first statement in a block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6797 (if containing-leader
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6798 (goto-char containing-leader)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6799 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6800 ;; Note that a containing sexp here is always a keyword,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6801 ;; not a paren, so skip over the keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6802 (forward-sexp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6803 ;; move to the start of the first statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6804 (vhdl-forward-syntactic-ws indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6805 (setq placeholder (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6806 ;; we want to ignore case alternatives keys when skipping forward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6807 (let (incase-p)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6808 (while (looking-at vhdl-case-alternative-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6809 (setq incase-p (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6810 ;; we also want to skip over the body of the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6811 ;; case/when statement if that doesn't put us at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6812 ;; after the indent-point
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6813 (while (vhdl-skip-case-alternative indent-point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6814 ;; set up the match end
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6815 (looking-at vhdl-case-alternative-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6816 (goto-char (match-end 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6817 ;; move to the start of the first case alternative statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6818 (vhdl-forward-syntactic-ws indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6819 (setq placeholder (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6820 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6821 ;; CASE 8A: we saw a case/when statement so we must be
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6822 ;; in a switch statement. find out if we are at the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6823 ;; statement just after a case alternative key
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6824 ((and incase-p
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6825 (= (point) indent-point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6826 ;; relpos is the "when" keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6827 (vhdl-add-syntax 'statement-case-intro incase-p))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6828 ;; CASE 8B: any old statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6829 ((< (point) indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6830 ;; relpos is the first statement of the block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6831 (vhdl-add-syntax 'statement placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6832 (if begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6833 (vhdl-add-syntax 'block-open)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6834 ;; CASE 8C: first statement in a block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6835 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6836 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6837 ;; If the \"begin\" keyword is a trailer, then find v-b-o-s
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6838 (if (looking-at vhdl-trailer-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6839 (progn (forward-sexp) (vhdl-beginning-of-statement-1 nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6840 (vhdl-backward-skip-label (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6841 (vhdl-add-syntax 'statement-block-intro (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6842 (if begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6843 (vhdl-add-syntax 'block-open)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6844 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6845 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6846
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6847 ;; now we need to look at any modifiers
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6848 (goto-char indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6849 (skip-chars-forward " \t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6850 (if (looking-at "--")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6851 (vhdl-add-syntax 'comment))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
6852 (if (eq literal 'pound)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
6853 (vhdl-add-syntax 'cpp-macro))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6854 ;; return the syntax
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6855 vhdl-syntactic-context))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6856
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6857 ;; Standard indentation line-ups:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6858
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6859 (defun vhdl-lineup-arglist (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6860 "Lineup the current arglist line with the arglist appearing just
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6861 after the containing paren which starts the arglist."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6862 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6863 (let* ((containing-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6864 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6865 ;; arglist-cont-nonempty gives relpos ==
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6866 ;; to boi of containing-sexp paren. This
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6867 ;; is good when offset is +, but bad
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6868 ;; when it is vhdl-lineup-arglist, so we
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6869 ;; have to special case a kludge here.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6870 (if (memq (car langelem) '(arglist-intro arglist-cont-nonempty))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6871 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6872 (beginning-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6873 (backward-up-list 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6874 (skip-chars-forward " \t" (vhdl-point 'eol)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6875 (goto-char (cdr langelem)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6876 (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6877 (cs-curcol (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6878 (goto-char (cdr langelem))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6879 (current-column))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6880 (if (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6881 (beginning-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6882 (looking-at "[ \t]*)"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6883 (progn (goto-char (match-end 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6884 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6885 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6886 (vhdl-forward-syntactic-ws)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6887 (- (current-column) cs-curcol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6888 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6889 (or (eolp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6890 (let ((eol (vhdl-point 'eol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6891 (here (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6892 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6893 (skip-chars-forward " \t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6894 (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6895 (vhdl-forward-syntactic-ws)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6896 (if (< (point) eol)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6897 (goto-char here))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6898 (- (current-column) cs-curcol)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6899 ))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6900
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6901 (defun vhdl-lineup-arglist-intro (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6902 "Lineup an arglist-intro line to just after the open paren."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6903 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6904 (let ((cs-curcol (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6905 (goto-char (cdr langelem))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6906 (current-column)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6907 (ce-curcol (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6908 (beginning-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6909 (backward-up-list 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6910 (skip-chars-forward " \t" (vhdl-point 'eol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6911 (current-column))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6912 (- ce-curcol cs-curcol -1))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6913
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6914 (defun vhdl-lineup-comment (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6915 "Support old behavior for comment indentation. We look at
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6916 vhdl-comment-only-line-offset to decide how to indent comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6917 only-lines."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6918 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6919 (back-to-indentation)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6920 ;; at or to the right of comment-column
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6921 (if (>= (current-column) comment-column)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6922 (vhdl-comment-indent)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6923 ;; otherwise, indent as specified by vhdl-comment-only-line-offset
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6924 (if (not (bolp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6925 (or (car-safe vhdl-comment-only-line-offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6926 vhdl-comment-only-line-offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6927 (or (cdr-safe vhdl-comment-only-line-offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6928 (car-safe vhdl-comment-only-line-offset)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
6929 -1000 ;jam it against the left side
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6930 )))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6931
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6932 (defun vhdl-lineup-statement-cont (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6933 "Line up statement-cont after the assignment operator."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6934 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6935 (let* ((relpos (cdr langelem))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6936 (assignp (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6937 (goto-char (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6938 (and (re-search-forward "\\(<\\|:\\)="
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6939 (vhdl-point 'eol) t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6940 (- (point) (vhdl-point 'boi)))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6941 (curcol (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6942 (goto-char relpos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6943 (current-column)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6944 foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6945 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6946 (< (point) (vhdl-point 'eol)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6947 (re-search-forward "\\(<\\|:\\)=\\|(" (vhdl-point 'eol) 'move)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6948 (if (vhdl-in-literal)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6949 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6950 (if (= (preceding-char) ?\()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6951 ;; skip over any parenthesized expressions
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6952 (goto-char (min (vhdl-point 'eol)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6953 (scan-lists (point) 1 1)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6954 ;; found an assignment operator (not at eol)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6955 (setq foundp (not (looking-at "\\s-*$"))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6956 (if (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6957 ;; there's no assignment operator on the line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6958 vhdl-basic-offset
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6959 ;; calculate indentation column after assign and ws, unless
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6960 ;; our line contains an assignment operator
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6961 (if (not assignp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6962 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6963 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6964 (skip-chars-forward " \t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6965 (setq assignp 0)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6966 (- (current-column) assignp curcol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6967 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6968
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6969 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6970 ;; Progress reporting
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6971
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6972 (defvar vhdl-progress-info nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6973 "Array variable for progress information: 0 begin, 1 end, 2 time.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6974
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6975 (defun vhdl-update-progress-info (string pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6976 "Update progress information."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6977 (when (and vhdl-progress-info (not noninteractive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6978 (< vhdl-progress-interval
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6979 (- (nth 1 (current-time)) (aref vhdl-progress-info 2))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6980 (message (concat string "... (%2d%s)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6981 (/ (* 100 (- pos (aref vhdl-progress-info 0)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6982 (- (aref vhdl-progress-info 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6983 (aref vhdl-progress-info 0))) "%")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6984 (aset vhdl-progress-info 2 (nth 1 (current-time)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6985
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6986 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6987 ;; Indentation commands
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6988
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6989 (defun vhdl-electric-tab (&optional prefix-arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6990 "If preceeding character is part of a word or a paren then hippie-expand,
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6991 else if right of non whitespace on line then insert tab,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6992 else if last command was a tab or return then dedent one step or if a comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6993 toggle between normal indent and inline comment indent,
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6994 else indent `correctly'."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6995 (interactive "*P")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6996 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6997 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6998 ;; expand word
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
6999 ((= (char-syntax (preceding-char)) ?w)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7000 (let ((case-fold-search (not vhdl-word-completion-case-sensitive))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7001 (case-replace nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7002 (hippie-expand-only-buffers
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7003 (or (and (boundp 'hippie-expand-only-buffers)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7004 hippie-expand-only-buffers)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7005 '(vhdl-mode))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7006 (vhdl-expand-abbrev prefix-arg)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7007 ;; expand parenthesis
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7008 ((or (= (preceding-char) ?\() (= (preceding-char) ?\)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7009 (let ((case-fold-search (not vhdl-word-completion-case-sensitive))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7010 (case-replace nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7011 (vhdl-expand-paren prefix-arg)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7012 ;; insert tab
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7013 ((> (current-column) (current-indentation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7014 (insert-tab))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7015 ;; toggle comment indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7016 ((and (looking-at "--")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7017 (or (eq last-command 'vhdl-electric-tab)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7018 (eq last-command 'vhdl-electric-return)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7019 (cond ((= (current-indentation) 0) ; no indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7020 (indent-to 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7021 (indent-according-to-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7022 ((< (current-indentation) comment-column) ; normal indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7023 (indent-to comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7024 (indent-according-to-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7025 (t ; inline comment indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7026 (kill-line -0))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7027 ;; dedent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7028 ((and (>= (current-indentation) vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7029 (or (eq last-command 'vhdl-electric-tab)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7030 (eq last-command 'vhdl-electric-return)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7031 (backward-delete-char-untabify vhdl-basic-offset nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7032 ;; indent line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7033 (t (indent-according-to-mode)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7034 (setq this-command 'vhdl-electric-tab)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7035
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7036 (defun vhdl-electric-return ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7037 "newline-and-indent or indent-new-comment-line if in comment and preceding
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7038 character is a space."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7039 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7040 (if (and (= (preceding-char) ? ) (vhdl-in-comment-p))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7041 (indent-new-comment-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7042 (when (and (>= (preceding-char) ?a) (<= (preceding-char) ?z))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7043 (vhdl-fix-case-word -1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7044 (newline-and-indent)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7045
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7046 (defun vhdl-indent-line ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7047 "Indent the current line as VHDL code. Returns the amount of
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7048 indentation change."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7049 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7050 (let* ((syntax (and vhdl-indent-syntax-based (vhdl-get-syntactic-context)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7051 (pos (- (point-max) (point)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7052 (indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7053 (if syntax
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7054 ;; indent syntax-based
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7055 (if (and (eq (caar syntax) 'comment)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7056 (>= (vhdl-get-offset (car syntax)) comment-column))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7057 ;; special case: comments at or right of comment-column
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7058 (vhdl-get-offset (car syntax))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7059 (apply '+ (mapcar 'vhdl-get-offset syntax)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7060 ;; indent like previous nonblank line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7061 (save-excursion (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7062 (re-search-backward "^[^\n]" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7063 (current-indentation))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7064 (shift-amt (- indent (current-indentation))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7065 (and vhdl-echo-syntactic-information-p
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7066 (message "syntax: %s, indent= %d" syntax indent))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7067 (unless (zerop shift-amt)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7068 (delete-region (vhdl-point 'bol) (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7069 (beginning-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7070 (indent-to indent))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7071 (if (< (point) (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7072 (back-to-indentation)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7073 ;; If initial point was within line's indentation, position after
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7074 ;; the indentation. Else stay at same point in text.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7075 (when (> (- (point-max) pos) (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7076 (goto-char (- (point-max) pos))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7077 (run-hooks 'vhdl-special-indent-hook)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7078 (vhdl-update-progress-info "Indenting" (vhdl-current-line))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7079 shift-amt))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7080
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7081 (defun vhdl-indent-region (beg end column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7082 "Indent region as VHDL code.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7083 Adds progress reporting to `indent-region'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7084 (interactive "r\nP")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7085 (when vhdl-progress-interval
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7086 (setq vhdl-progress-info (vector (count-lines (point-min) beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7087 (count-lines (point-min) end) 0)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7088 (indent-region beg end column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7089 (when vhdl-progress-interval (message "Indenting...done"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7090 (setq vhdl-progress-info nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7091
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7092 (defun vhdl-indent-buffer ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7093 "Indent whole buffer as VHDL code.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7094 Calls `indent-region' for whole buffer and adds progress reporting."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7095 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7096 (vhdl-indent-region (point-min) (point-max) nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7097
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7098 (defun vhdl-indent-group ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7099 "Indent group of lines between empty lines."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7100 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7101 (let ((beg (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7102 (if (re-search-backward vhdl-align-group-separate nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7103 (point-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7104 (point-min-marker))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7105 (end (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7106 (if (re-search-forward vhdl-align-group-separate nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7107 (point-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7108 (point-max-marker)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7109 (vhdl-indent-region beg end nil)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7110
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7111 (defun vhdl-indent-sexp (&optional endpos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7112 "Indent each line of the list starting just after point.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7113 If optional arg ENDPOS is given, indent each line, stopping when
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7114 ENDPOS is encountered."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7115 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7116 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7117 (let ((beg (point))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7118 (end (progn (vhdl-forward-sexp nil endpos) (point))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7119 (indent-region beg end nil))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7120
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7121 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7122 ;; Miscellaneous commands
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7123
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7124 (defun vhdl-show-syntactic-information ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7125 "Show syntactic information for current line."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7126 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7127 (message "Syntactic analysis: %s" (vhdl-get-syntactic-context))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7128 (vhdl-keep-region-active))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7129
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7130 ;; Verification and regression functions:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7131
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7132 (defun vhdl-regress-line (&optional arg)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7133 "Check syntactic information for current line."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7134 (interactive "P")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7135 (let ((expected (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7136 (end-of-line)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7137 (when (search-backward " -- ((" (vhdl-point 'bol) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7138 (forward-char 4)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7139 (read (current-buffer)))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7140 (actual (vhdl-get-syntactic-context))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7141 (expurgated))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7142 ;; remove the library unit symbols
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7143 (mapcar
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7144 (function
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7145 (lambda (elt)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7146 (if (memq (car elt) '(entity configuration package
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7147 package-body architecture))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7148 nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7149 (setq expurgated (append expurgated (list elt))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7150 actual)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7151 (if (and (not arg) expected (listp expected))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7152 (if (not (equal expected expurgated))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7153 (error "ERROR: Should be: %s, is: %s" expected expurgated))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7154 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7155 (beginning-of-line)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7156 (when (not (looking-at "^\\s-*\\(--.*\\)?$"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7157 (end-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7158 (if (search-backward " -- ((" (vhdl-point 'bol) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7159 (kill-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7160 (insert " -- ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7161 (insert (format "%s" expurgated))))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7162 (vhdl-keep-region-active))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7163
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7164
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7165 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7166 ;;; Alignment, whitespace fixup, beautifying
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7167 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7168
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7169 (defconst vhdl-align-alist
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7170 '(
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7171 ;; after some keywords
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7172 (vhdl-mode "^\\s-*\\(constant\\|quantity\\|signal\\|subtype\\|terminal\\|type\\|variable\\)[ \t]"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7173 "^\\s-*\\(constant\\|quantity\\|signal\\|subtype\\|terminal\\|type\\|variable\\)\\([ \t]+\\)" 2)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7174 ;; before ':'
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7175 (vhdl-mode ":[^=]" "\\([ \t]*\\):[^=]")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7176 ;; after direction specifications
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7177 (vhdl-mode ":[ \t]*\\(in\\|out\\|inout\\|buffer\\|\\)\\>"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7178 ":[ \t]*\\(in\\|out\\|inout\\|buffer\\|\\)\\([ \t]+\\)" 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7179 ;; before "==", ":=", "=>", and "<="
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7180 (vhdl-mode "[<:=]=" "\\([ \t]*\\)[<:=]=" 1) ; since "<= ... =>" can occur
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7181 (vhdl-mode "=>" "\\([ \t]*\\)=>" 1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7182 (vhdl-mode "[<:=]=" "\\([ \t]*\\)[<:=]=" 1) ; since "=> ... <=" can occur
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7183 ;; before some keywords
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7184 (vhdl-mode "[ \t]after\\>" "[^ \t]\\([ \t]+\\)after\\>" 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7185 (vhdl-mode "[ \t]when\\>" "[^ \t]\\([ \t]+\\)when\\>" 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7186 (vhdl-mode "[ \t]else\\>" "[^ \t]\\([ \t]+\\)else\\>" 1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7187 ;; before "=>" since "when/else ... =>" can occur
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7188 (vhdl-mode "=>" "\\([ \t]*\\)=>" 1)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7189 )
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7190 "The format of this alist is (MODES [or MODE] REGEXP ALIGN-PATTERN SUBEXP).
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7191 It is searched in order. If REGEXP is found anywhere in the first
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7192 line of a region to be aligned, ALIGN-PATTERN will be used for that
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7193 region. ALIGN-PATTERN must include the whitespace to be expanded or
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7194 contracted. It may also provide regexps for the text surrounding the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7195 whitespace. SUBEXP specifies which sub-expression of
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7196 ALIGN-PATTERN matches the white space to be expanded/contracted.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7197
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7198 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7199 ;; Align code
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7200
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7201 (defvar vhdl-align-try-all-clauses t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7202 "If REGEXP is not found on the first line of the region that clause
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7203 is ignored. If this variable is non-nil, then the clause is tried anyway.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7204
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7205 (defun vhdl-do-group (function &optional spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7206 "Apply FUNCTION on group of lines between empty lines."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7207 (let
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7208 ;; search for group beginning
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7209 ((beg (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7210 (if (re-search-backward vhdl-align-group-separate nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7211 (progn (beginning-of-line 2) (back-to-indentation) (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7212 (point-min))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7213 ;; search for group end
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7214 (end (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7215 (if (re-search-forward vhdl-align-group-separate nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7216 (progn (beginning-of-line) (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7217 (point-max)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7218 ;; run FUNCTION
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7219 (funcall function beg end spacing)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7220
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7221 (defun vhdl-do-list (function &optional spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7222 "Apply FUNCTION to the lines of a list surrounded by a balanced group of
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7223 parentheses."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7224 (let (beg end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7225 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7226 ;; search for beginning of balanced group of parentheses
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7227 (setq beg (vhdl-re-search-backward "[()]" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7228 (while (looking-at ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7229 (forward-char) (backward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7230 (setq beg (vhdl-re-search-backward "[()]" nil t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7231 ;; search for end of balanced group of parentheses
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7232 (when beg
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7233 (forward-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7234 (setq end (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7235 (goto-char (1+ beg))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7236 (skip-chars-forward " \t\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7237 (setq beg (point))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7238 ;; run FUNCTION
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7239 (if beg
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7240 (funcall function beg end spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7241 (error "ERROR: Not within a list enclosed by a pair of parentheses"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7242
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7243 (defun vhdl-do-same-indent (function &optional spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7244 "Apply FUNCTION to block of lines with same indent."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7245 (let ((indent (current-indentation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7246 beg end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7247 ;; search for first line with same indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7248 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7249 (while (and (not (bobp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7250 (or (looking-at "^\\s-*\\(--.*\\)?$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7251 (= (current-indentation) indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7252 (unless (looking-at "^\\s-*$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7253 (back-to-indentation) (setq beg (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7254 (beginning-of-line -0)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7255 ;; search for last line with same indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7256 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7257 (while (and (not (eobp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7258 (or (looking-at "^\\s-*\\(--.*\\)?$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7259 (= (current-indentation) indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7260 (if (looking-at "^\\s-*$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7261 (beginning-of-line 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7262 (beginning-of-line 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7263 (setq end (point)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7264 ;; run FUNCTION
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7265 (funcall function beg end spacing)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7266
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7267 (defun vhdl-align-region-1 (begin end &optional spacing alignment-list indent)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7268 "Attempt to align a range of lines based on the content of the
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7269 lines. The definition of `alignment-list' determines the matching
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7270 order and the manner in which the lines are aligned. If ALIGNMENT-LIST
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7271 is not specified `vhdl-align-alist' is used. If INDENT is non-nil,
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7272 indentation is done before aligning."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7273 (interactive "r\np")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7274 (setq alignment-list (or alignment-list vhdl-align-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7275 (setq spacing (or spacing 1))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7276 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7277 (let (bol indent)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7278 (goto-char end)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7279 (setq end (point-marker))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7280 (goto-char begin)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7281 (setq bol (setq begin (progn (beginning-of-line) (point))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7282 ; (untabify bol end)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7283 (when indent
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7284 (indent-region bol end nil))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7285 (let ((copy (copy-alist alignment-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7286 (vhdl-prepare-search-2
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7287 (while copy
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7288 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7289 (goto-char begin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7290 (let (element
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7291 (eol (save-excursion (progn (end-of-line) (point)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7292 (setq element (nth 0 copy))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7293 (when (and (or (and (listp (car element))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7294 (memq major-mode (car element)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7295 (eq major-mode (car element)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7296 (or vhdl-align-try-all-clauses
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7297 (re-search-forward (car (cdr element)) eol t)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7298 (vhdl-align-region-2 begin end (car (cdr (cdr element)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7299 (car (cdr (cdr (cdr element)))) spacing))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7300 (setq copy (cdr copy))))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7301
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7302 (defun vhdl-align-region-2 (begin end match &optional substr spacing)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7303 "Align a range of lines from BEGIN to END. The regular expression
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7304 MATCH must match exactly one fields: the whitespace to be
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7305 contracted/expanded. The alignment column will equal the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7306 rightmost column of the widest whitespace block. SPACING is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7307 the amount of extra spaces to add to the calculated maximum required.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7308 SPACING defaults to 1 so that at least one space is inserted after
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7309 the token in MATCH."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7310 (setq spacing (or spacing 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7311 (setq substr (or substr 1))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7312 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7313 (let (distance (max 0) (lines 0) bol eol width)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7314 ;; Determine the greatest whitespace distance to the alignment
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7315 ;; character
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7316 (goto-char begin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7317 (setq eol (progn (end-of-line) (point))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7318 bol (setq begin (progn (beginning-of-line) (point))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7319 (while (< bol end)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7320 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7321 (when (and (re-search-forward match eol t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7322 (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7323 (setq distance (- (match-beginning substr) bol))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7324 (when (> distance max)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7325 (setq max distance))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7326 (forward-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7327 (setq bol (point)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7328 eol (save-excursion (end-of-line) (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7329 (setq lines (1+ lines)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7330 ;; Now insert enough maxs to push each assignment operator to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7331 ;; the same column. We need to use 'lines' as a counter, since
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7332 ;; the location of the mark may change
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7333 (goto-char (setq bol begin))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7334 (setq eol (save-excursion (end-of-line) (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7335 (while (> lines 0)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7336 (when (and (re-search-forward match eol t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7337 (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7338 (setq width (- (match-end substr) (match-beginning substr)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7339 (setq distance (- (match-beginning substr) bol))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7340 (goto-char (match-beginning substr))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7341 (delete-char width)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7342 (insert-char ? (+ (- max distance) spacing)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7343 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7344 (forward-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7345 (setq bol (point)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7346 eol (save-excursion (end-of-line) (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7347 (setq lines (1- lines))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7348
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7349 (defun vhdl-align-region-groups (beg end &optional spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7350 no-message no-comments)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7351 "Align region, treat groups of lines separately."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7352 (interactive "r\nP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7353 (save-excursion
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7354 (let (orig pos)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7355 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7356 (beginning-of-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7357 (setq orig (point-marker))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7358 (setq beg (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7359 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7360 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7361 (untabify beg end)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7362 (unless no-message
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7363 (when vhdl-progress-interval
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7364 (setq vhdl-progress-info (vector (count-lines (point-min) beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7365 (count-lines (point-min) end) 0))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7366 (vhdl-fixup-whitespace-region beg end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7367 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7368 (if (not vhdl-align-groups)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7369 ;; align entire region
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7370 (progn (vhdl-align-region-1 beg end spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7371 (unless no-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7372 (vhdl-align-inline-comment-region-1 beg end)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7373 ;; align groups
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7374 (while (and (< beg end)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7375 (re-search-forward vhdl-align-group-separate end t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7376 (setq pos (point-marker))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7377 (vhdl-align-region-1 beg pos spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7378 (unless no-comments (vhdl-align-inline-comment-region-1 beg pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7379 (vhdl-update-progress-info "Aligning" (vhdl-current-line))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7380 (setq beg (1+ pos))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7381 (goto-char beg))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7382 ;; align last group
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7383 (when (< beg end)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7384 (vhdl-align-region-1 beg end spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7385 (unless no-comments (vhdl-align-inline-comment-region-1 beg end))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7386 (vhdl-update-progress-info "Aligning" (vhdl-current-line))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7387 (when vhdl-indent-tabs-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7388 (tabify orig end))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7389 (unless no-message
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7390 (when vhdl-progress-interval (message "Aligning...done"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7391 (setq vhdl-progress-info nil)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7392
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7393 (defun vhdl-align-region (beg end &optional spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7394 "Align region, treat blocks with same indent and argument lists separately."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7395 (interactive "r\nP")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7396 (if (not vhdl-align-same-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7397 ;; align entire region
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7398 (vhdl-align-region-groups beg end spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7399 ;; align blocks with same indent and argument lists
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7400 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7401 (let ((cur-beg beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7402 indent cur-end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7403 (when vhdl-progress-interval
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7404 (setq vhdl-progress-info (vector (count-lines (point-min) beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7405 (count-lines (point-min) end) 0)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7406 (goto-char end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7407 (setq end (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7408 (goto-char cur-beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7409 (while (< (point) end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7410 ;; is argument list opening?
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7411 (if (setq cur-beg (nth 1 (save-excursion (parse-partial-sexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7412 (point) (vhdl-point 'eol)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7413 ;; determine region for argument list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7414 (progn (goto-char cur-beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7415 (forward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7416 (setq cur-end (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7417 (beginning-of-line 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7418 ;; determine region with same indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7419 (setq indent (current-indentation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7420 (setq cur-beg (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7421 (setq cur-end (vhdl-point 'bonl))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7422 (beginning-of-line 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7423 (while (and (< (point) end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7424 (or (looking-at "^\\s-*\\(--.*\\)?$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7425 (= (current-indentation) indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7426 (<= (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7427 (nth 0 (parse-partial-sexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7428 (point) (vhdl-point 'eol)))) 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7429 (unless (looking-at "^\\s-*$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7430 (setq cur-end (vhdl-point 'bonl)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7431 (beginning-of-line 2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7432 ;; align region
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7433 (vhdl-align-region-groups cur-beg cur-end spacing t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7434 (vhdl-align-inline-comment-region beg end spacing noninteractive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7435 (when vhdl-progress-interval (message "Aligning...done"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7436 (setq vhdl-progress-info nil)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7437
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7438 (defun vhdl-align-group (&optional spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7439 "Align group of lines between empty lines."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7440 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7441 (vhdl-do-group 'vhdl-align-region spacing))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7442
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7443 (defun vhdl-align-list (&optional spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7444 "Align the lines of a list surrounded by a balanced group of parentheses."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7445 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7446 (vhdl-do-list 'vhdl-align-region-groups spacing))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7447
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7448 (defun vhdl-align-same-indent (&optional spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7449 "Align block of lines with same indent."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7450 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7451 (vhdl-do-same-indent 'vhdl-align-region-groups spacing))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7452
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7453 (defun vhdl-align-declarations (&optional spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7454 "Align the lines within the declarative part of a design unit."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7455 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7456 (let (beg end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7457 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7458 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7459 ;; search for declarative part
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7460 (when (and (re-search-backward "^\\(architecture\\|begin\\|configuration\\|end\\|entity\\|package\\)\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7461 (not (member (upcase (match-string 1)) '("BEGIN" "END"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7462 (setq beg (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7463 (re-search-forward "^\\(begin\\|end\\)\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7464 (setq end (point)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7465 (if beg
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7466 (vhdl-align-region-groups beg end spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7467 (error "ERROR: Not within the declarative part of a design unit"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7468
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7469 (defun vhdl-align-buffer ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7470 "Align buffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7471 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7472 (vhdl-align-region (point-min) (point-max)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7473
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7474 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7475 ;; Align inline comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7476
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7477 (defun vhdl-align-inline-comment-region-1 (beg end &optional spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7478 "Align inline comments in region."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7479 (save-excursion
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7480 (let ((start-max comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7481 (length-max 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7482 comment-list start-list tmp-list start length
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7483 cur-start prev-start no-code)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7484 (setq spacing (or spacing 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7485 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7486 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7487 ;; search for comment start positions and lengths
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7488 (while (< (point) end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7489 (when (and (not (looking-at "^\\s-*\\(begin\\|end\\)\\>"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7490 (looking-at "^\\(.*[^ \t\n-]+\\)\\s-*\\(--.*\\)$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7491 (not (save-excursion (goto-char (match-beginning 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7492 (vhdl-in-literal))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7493 (setq start (+ (- (match-end 1) (match-beginning 1)) spacing))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7494 (setq length (- (match-end 2) (match-beginning 2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7495 (setq start-max (max start start-max))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7496 (setq length-max (max length length-max))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7497 (setq comment-list (cons (cons start length) comment-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7498 (beginning-of-line 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7499 (setq comment-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7500 (sort comment-list (function (lambda (a b) (> (car a) (car b))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7501 ;; reduce start positions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7502 (setq start-list (list (caar comment-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7503 (setq comment-list (cdr comment-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7504 (while comment-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7505 (unless (or (= (caar comment-list) (car start-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7506 (<= (+ (car start-list) (cdar comment-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7507 end-comment-column))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7508 (setq start-list (cons (caar comment-list) start-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7509 (setq comment-list (cdr comment-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7510 ;; align lines as nicely as possible
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7511 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7512 (while (< (point) end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7513 (setq cur-start nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7514 (when (and (not (looking-at "^\\s-*\\(begin\\|end\\)\\>"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7515 (or (and (looking-at "^\\(.*[^ \t\n-]+\\)\\(\\s-*\\)\\(--.*\\)$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7516 (not (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7517 (goto-char (match-beginning 3))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7518 (vhdl-in-literal))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7519 (and (looking-at "^\\(\\)\\(\\s-*\\)\\(--.*\\)$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7520 (>= (- (match-end 2) (match-beginning 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7521 comment-column))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7522 (setq start (+ (- (match-end 1) (match-beginning 1)) spacing))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7523 (setq length (- (match-end 3) (match-beginning 3)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7524 (setq no-code (= (match-beginning 1) (match-end 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7525 ;; insert minimum whitespace
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7526 (goto-char (match-end 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7527 (delete-region (match-beginning 2) (match-end 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7528 (insert-char ?\ spacing)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7529 (setq tmp-list start-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7530 ;; insert additional whitespace to align
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7531 (setq cur-start
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7532 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7533 ;; align comment-only line to inline comment of previous line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7534 ((and no-code prev-start
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7535 (<= length (- end-comment-column prev-start)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7536 prev-start)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7537 ;; align all comments at `start-max' if this is possible
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7538 ((<= (+ start-max length-max) end-comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7539 start-max)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7540 ;; align at `comment-column' if possible
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7541 ((and (<= start comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7542 (<= length (- end-comment-column comment-column)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7543 comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7544 ;; align at left-most possible start position otherwise
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7545 (t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7546 (while (and tmp-list (< (car tmp-list) start))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7547 (setq tmp-list (cdr tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7548 (car tmp-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7549 (indent-to cur-start))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7550 (setq prev-start cur-start)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7551 (beginning-of-line 2))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7552
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7553 (defun vhdl-align-inline-comment-region (beg end &optional spacing no-message)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7554 "Align inline comments within a region. Groups of code lines separated by
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7555 empty lines are aligned individually, if `vhdl-align-groups' is non-nil."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7556 (interactive "r\nP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7557 (save-excursion
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7558 (let (orig pos)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7559 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7560 (beginning-of-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7561 (setq orig (point-marker))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7562 (setq beg (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7563 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7564 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7565 (untabify beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7566 (unless no-message (message "Aligning inline comments..."))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7567 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7568 (if (not vhdl-align-groups)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7569 ;; align entire region
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7570 (vhdl-align-inline-comment-region-1 beg end spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7571 ;; align groups
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7572 (while (and (< beg end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7573 (re-search-forward vhdl-align-group-separate end t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7574 (setq pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7575 (vhdl-align-inline-comment-region-1 beg pos spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7576 (setq beg (1+ pos))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7577 (goto-char beg))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7578 ;; align last group
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7579 (when (< beg end)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7580 (vhdl-align-inline-comment-region-1 beg end spacing)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7581 (when vhdl-indent-tabs-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7582 (tabify orig end))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7583 (unless no-message (message "Aligning inline comments...done")))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7584
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7585 (defun vhdl-align-inline-comment-group (&optional spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7586 "Align inline comments within a group of lines between empty lines."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7587 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7588 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7589 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7590 beg end)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7591 (setq end (if (re-search-forward vhdl-align-group-separate nil t)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7592 (point-marker) (point-max)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7593 (goto-char start)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7594 (setq beg (if (re-search-backward vhdl-align-group-separate nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7595 (point) (point-min)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7596 (untabify beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7597 (message "Aligning inline comments...")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7598 (vhdl-align-inline-comment-region-1 beg end)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7599 (when vhdl-indent-tabs-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7600 (tabify beg end))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7601 (message "Aligning inline comments...done"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7602
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7603 (defun vhdl-align-inline-comment-buffer ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7604 "Align inline comments within buffer. Groups of code lines separated by
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7605 empty lines are aligned individually, if `vhdl-align-groups' is non-nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7606 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7607 (vhdl-align-inline-comment-region (point-min) (point-max)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7608
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7609 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7610 ;; Fixup whitespace
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7611
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7612 (defun vhdl-fixup-whitespace-region (beg end &optional no-message)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7613 "Fixup whitespace in region. Surround operator symbols by one space,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7614 eliminate multiple spaces (except at beginning of line), eliminate spaces at
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7615 end of line, do nothing in comments and strings."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7616 (interactive "r")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7617 (unless no-message (message "Fixing up whitespace..."))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7618 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7619 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7620 (setq end (point-marker))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7621 ;; have no space before and one space after `,' and ';'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7622 (goto-char beg)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7623 (while (re-search-forward "\\(--.*\n\\|\"[^\"\n]*[\"\n]\\|\'.\'\\)\\|\\(\\s-*\\([,;]\\)\\)" end t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7624 (if (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7625 (goto-char (match-end 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7626 (replace-match "\\3 " nil nil nil 3)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7627 ;; have no space after `('
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7628 (goto-char beg)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7629 (while (re-search-forward "\\(--.*\n\\|\"[^\"\n]*[\"\n]\\|\'.\'\\)\\|\\((\\)\\s-+" end t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7630 (if (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7631 (goto-char (match-end 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7632 (replace-match "\\2")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7633 ;; have no space before `)'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7634 (goto-char beg)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7635 (while (re-search-forward "\\(--.*\n\\|\"[^\"\n]*[\"\n]\\|\'.\'\\|^\\s-+\\)\\|\\s-+\\()\\)" end t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7636 (if (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7637 (goto-char (match-end 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7638 (replace-match "\\2")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7639 ;; surround operator symbols by one space
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7640 (goto-char beg)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7641 (while (re-search-forward "\\(--.*\n\\|\"[^\"\n]*[\"\n]\\|\'.\'\\)\\|\\(\\([^/:<>=]\\)\\(:\\|=\\|<\\|>\\|:=\\|<=\\|>=\\|=>\\|/=\\)\\([^=>]\\|$\\)\\)" end t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7642 (if (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7643 (goto-char (match-end 1))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7644 (replace-match "\\3 \\4 \\5")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7645 (goto-char (match-end 2))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7646 ;; eliminate multiple spaces and spaces at end of line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7647 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7648 (while (or (and (looking-at "--.*\n") (re-search-forward "--.*\n" end t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7649 (and (looking-at "\"") (re-search-forward "\"[^\"\n]*[\"\n]" end t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7650 (and (looking-at "\\s-+$") (re-search-forward "\\s-+$" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7651 (progn (replace-match "" nil nil) t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7652 (and (looking-at "\\s-+;") (re-search-forward "\\s-+;" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7653 (progn (replace-match ";" nil nil) t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7654 (and (looking-at "^\\s-+") (re-search-forward "^\\s-+" end t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7655 (and (looking-at "\\s-+--") (re-search-forward "\\s-+" end t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7656 (progn (replace-match " " nil nil) t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7657 (and (looking-at "\\s-+") (re-search-forward "\\s-+" end t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7658 (progn (replace-match " " nil nil) t))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7659 ; (re-search-forward "[^ \t-]+" end t))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7660 (re-search-forward "[^ \t\"-]+" end t))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7661 (unless no-message (message "Fixing up whitespace...done")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7662
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7663 (defun vhdl-fixup-whitespace-buffer ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7664 "Fixup whitespace in buffer. Surround operator symbols by one space,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7665 eliminate multiple spaces (except at beginning of line), eliminate spaces at
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7666 end of line, do nothing in comments."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7667 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7668 (vhdl-fixup-whitespace-region (point-min) (point-max)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7669
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7670 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7671 ;; Beautify
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7672
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7673 (defun vhdl-beautify-region (beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7674 "Beautify region by applying indentation, whitespace fixup, alignment, and
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7675 case fixing to a region. Calls functions `vhdl-indent-buffer',
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7676 `vhdl-align-buffer' (option `vhdl-align-groups' set to non-nil), and
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7677 `vhdl-fix-case-buffer'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7678 (interactive "r")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7679 (setq end (save-excursion (goto-char end) (point-marker)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7680 (vhdl-indent-region beg end nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7681 (let ((vhdl-align-groups t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7682 (vhdl-align-region beg end))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7683 (vhdl-fix-case-region beg end))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7684
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7685 (defun vhdl-beautify-buffer ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7686 "Beautify buffer by applying indentation, whitespace fixup, alignment, and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7687 case fixing to entire buffer. Calls `vhdl-beautify-region' for the entire
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7688 buffer."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7689 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7690 (vhdl-beautify-region (point-min) (point-max))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7691 (when noninteractive (save-buffer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7692
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7693 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7694 ;; Code filling
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7695
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7696 (defun vhdl-fill-region (beg end &optional arg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7697 "Fill lines for a region of code."
57820
5d471cc0eaab (vhdl-fill-region, vhdl-beginning-of-statement): Don't use interactive-p.
Richard M. Stallman <rms@gnu.org>
parents: 54770
diff changeset
7698 (interactive "r\np")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7699 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7700 (goto-char beg)
62917
e66c3159d2a4 (vhdl-fill-region): Test ARG, not INTERACTIVE.
Richard M. Stallman <rms@gnu.org>
parents: 62772
diff changeset
7701 (let ((margin (if arg (current-indentation) (current-column))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7702 (goto-char end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7703 (setq end (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7704 ;; remove inline comments, newlines and whitespace
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7705 (vhdl-comment-kill-region beg end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7706 (vhdl-comment-kill-inline-region beg end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7707 (subst-char-in-region beg (1- end) ?\n ?\ )
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7708 (vhdl-fixup-whitespace-region beg end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7709 ;; wrap and end-comment-column
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7710 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7711 (while (re-search-forward "\\s-" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7712 (when(> (current-column) vhdl-end-comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7713 (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7714 (when (re-search-backward "\\s-" beg t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7715 (replace-match "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7716 (indent-to margin)))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7717
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7718 (defun vhdl-fill-group ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7719 "Fill group of lines between empty lines."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7720 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7721 (vhdl-do-group 'vhdl-fill-region))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7722
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7723 (defun vhdl-fill-list ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7724 "Fill the lines of a list surrounded by a balanced group of parentheses."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7725 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7726 (vhdl-do-list 'vhdl-fill-region))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7727
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7728 (defun vhdl-fill-same-indent ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7729 "Fill the lines of block of lines with same indent."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7730 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7731 (vhdl-do-same-indent 'vhdl-fill-region))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7732
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7733
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7734 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7735 ;;; Code updating/fixing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7736 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7737
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7738 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7739 ;; Sensitivity list update
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7740
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7741 ;; Strategy:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7742 ;; - no sensitivity list is generated for processes with wait statements
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7743 ;; - otherwise, do the following:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7744 ;; 1. scan for all local signals (ports, signals declared in arch./blocks)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7745 ;; 2. scan for all signals already in the sensitivity list (in order to catch
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7746 ;; manually entered global signals)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7747 ;; 3. signals from 1. and 2. form the list of visible signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7748 ;; 4. search for if/elsif conditions containing an event (sequential code)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7749 ;; 5. scan for strings that are within syntactical regions where signals are
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7750 ;; read but not within sequential code, and that correspond to visible
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7751 ;; signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7752 ;; 6. replace sensitivity list by list of signals from 5.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7753
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7754 (defun vhdl-update-sensitivity-list-process ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7755 "Update sensitivity list of current process."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7756 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7757 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7758 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7759 (end-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7760 ;; look whether in process
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7761 (if (not (and (re-search-backward "^\\s-*\\(\\w+[ \t\n]*:[ \t\n]*\\)?\\(process\\|end\\s-+process\\)\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7762 (equal (upcase (match-string 2)) "PROCESS")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7763 (save-excursion (re-search-forward "^\\s-*end\\s-+process\\>" nil t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7764 (error "ERROR: Not within a process")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7765 (message "Updating sensitivity list...")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7766 (vhdl-update-sensitivity-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7767 (message "Updating sensitivity list...done")))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7768
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7769 (defun vhdl-update-sensitivity-list-buffer ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7770 "Update sensitivity list of all processes in current buffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7771 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7772 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7773 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7774 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7775 (message "Updating sensitivity lists...")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7776 (while (re-search-forward "^\\s-*\\(\\w+[ \t\n]*:[ \t\n]*\\)?process\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7777 (goto-char (match-beginning 0))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7778 (condition-case nil (vhdl-update-sensitivity-list) (error "")))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7779 (message "Updating sensitivity lists...done"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7780
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7781 (defun vhdl-update-sensitivity-list ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7782 "Update sensitivity list."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7783 (let ((proc-beg (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7784 (proc-end (re-search-forward "^\\s-*end\\s-+process\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7785 (proc-mid (re-search-backward "^\\s-*begin\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7786 seq-region-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7787 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7788 ;; search for wait statement (no sensitivity list allowed)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7789 ((progn (goto-char proc-mid)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7790 (vhdl-re-search-forward "\\<wait\\>" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7791 (error "ERROR: Process with wait statement, sensitivity list not generated"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7792 ;; combinational process (update sensitivity list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7793 (t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7794 (let
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7795 ;; scan for visible signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7796 ((visible-list (vhdl-get-visible-signals))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7797 ;; define syntactic regions where signals are read
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7798 (scan-regions-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7799 '(;; right-hand side of signal/variable assignment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7800 ;; (special case: "<=" is relational operator in a condition)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7801 ((re-search-forward "[<:]=" proc-end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7802 (re-search-forward ";\\|\\<\\(then\\|loop\\|report\\|severity\\|is\\)\\>" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7803 ;; if condition
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7804 ((re-search-forward "^\\s-*if\\>" proc-end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7805 (re-search-forward "\\<then\\>" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7806 ;; elsif condition
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7807 ((re-search-forward "\\<elsif\\>" proc-end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7808 (re-search-forward "\\<then\\>" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7809 ;; while loop condition
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7810 ((re-search-forward "^\\s-*while\\>" proc-end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7811 (re-search-forward "\\<loop\\>" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7812 ;; exit/next condition
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7813 ((re-search-forward "\\<\\(exit\\|next\\)\\s-+\\w+\\s-+when\\>" proc-end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7814 (re-search-forward ";" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7815 ;; assert condition
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7816 ((re-search-forward "\\<assert\\>" proc-end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7817 (re-search-forward "\\(\\<report\\>\\|\\<severity\\>\\|;\\)" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7818 ;; case expression
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7819 ((re-search-forward "^\\s-*case\\>" proc-end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7820 (re-search-forward "\\<is\\>" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7821 ;; parameter list of procedure call
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7822 ((and (re-search-forward "^\\s-*\\w+[ \t\n]*(" proc-end t)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7823 (1- (point)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7824 (progn (backward-char) (forward-sexp)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7825 (while (looking-at "(") (forward-sexp)) (point)))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7826 name read-list sens-list signal-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7827 sens-beg sens-end beg end margin)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7828 ;; scan for signals in old sensitivity list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7829 (goto-char proc-beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7830 (re-search-forward "\\<process\\>" proc-mid t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7831 (if (not (looking-at "[ \t\n]*("))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7832 (setq sens-beg (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7833 (setq sens-beg (re-search-forward "\\([ \t\n]*\\)([ \t\n]*" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7834 (goto-char (match-end 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7835 (forward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7836 (setq sens-end (1- (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7837 (goto-char sens-beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7838 (while (and (re-search-forward "\\(\\w+\\)" sens-end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7839 (setq sens-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7840 (cons (downcase (match-string 0)) sens-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7841 (re-search-forward "\\s-*,\\s-*" sens-end t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7842 (setq signal-list (append visible-list sens-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7843 ;; search for sequential parts
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7844 (goto-char proc-mid)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7845 (while (setq beg (re-search-forward "^\\s-*\\(els\\)?if\\>" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7846 (setq end (re-search-forward "\\<then\\>" proc-end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7847 (when (re-search-backward "\\('event\\|\\<\\(falling\\|rising\\)_edge\\)\\>" beg t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7848 (goto-char end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7849 (backward-word 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7850 (vhdl-forward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7851 (setq seq-region-list (cons (cons end (point)) seq-region-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7852 (beginning-of-line)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7853 ;; scan for signals read in process
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7854 (while scan-regions-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7855 (goto-char proc-mid)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7856 (while (and (setq beg (eval (nth 0 (car scan-regions-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7857 (setq end (eval (nth 1 (car scan-regions-list)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7858 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7859 (unless (or (vhdl-in-literal)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7860 (and seq-region-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7861 (let ((tmp-list seq-region-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7862 (while (and tmp-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7863 (< (point) (caar tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7864 (setq tmp-list (cdr tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7865 (and tmp-list (< (point) (cdar tmp-list))))))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7866 (while (vhdl-re-search-forward "[^'\"]\\<\\([a-zA-Z]\\w*\\)\\>[ \t\n]*\\('\\(\\w+\\)\\|\\(=>\\)\\)?" end t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7867 (setq name (match-string 1))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7868 (when (and (not (match-string 4)) ; not when formal parameter
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7869 (not (and (match-string 3) ; not event attribute
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7870 (not (member (downcase (match-string 3))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7871 '("event" "last_event" "transaction")))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7872 (member (downcase name) signal-list))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7873 (unless (member-ignore-case name read-list)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7874 (setq read-list (cons name read-list))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7875 (goto-char (match-end 1)))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7876 (setq scan-regions-list (cdr scan-regions-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7877 ;; update sensitivity list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7878 (goto-char sens-beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7879 (if sens-end
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7880 (delete-region sens-beg sens-end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7881 (when read-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7882 (insert " ()") (backward-char)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7883 (setq read-list (sort read-list 'string<))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7884 (when read-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7885 (setq margin (current-column))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7886 (insert (car read-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7887 (setq read-list (cdr read-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7888 (while read-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7889 (insert ",")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7890 (if (<= (+ (current-column) (length (car read-list)) 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7891 end-comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7892 (insert " ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7893 (insert "\n") (indent-to margin))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7894 (insert (car read-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7895 (setq read-list (cdr read-list)))))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7896
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7897 (defun vhdl-get-visible-signals ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7898 "Get all signals visible in the current block."
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7899 (let (beg end signal-list entity-name file-name)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7900 (vhdl-prepare-search-2
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7901 ;; get entity name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7902 (save-excursion
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7903 (unless (and (re-search-backward "^\\(architecture\\s-+\\w+\\s-+of\\s-+\\(\\w+\\)\\|end\\)\\>" nil t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7904 (not (equal "END" (upcase (match-string 1))))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7905 (setq entity-name (match-string 2)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7906 (error "ERROR: Not within an architecture")))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7907 ;; search for signals declared in entity port clause
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7908 (save-excursion
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7909 (goto-char (point-min))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7910 (unless (re-search-forward (concat "^entity\\s-+" entity-name "\\>") nil t)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7911 (setq file-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7912 (concat (vhdl-replace-string vhdl-entity-file-name entity-name t)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7913 "." (file-name-extension (buffer-file-name)))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7914 (vhdl-visit-file
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7915 file-name t
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7916 (vhdl-prepare-search-2
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7917 (goto-char (point-min))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7918 (if (not (re-search-forward (concat "^entity\\s-+" entity-name "\\>") nil t))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7919 (error "ERROR: Entity \"%s\" not found:\n --> see option `vhdl-entity-file-name'" entity-name)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7920 (when (setq beg (re-search-forward
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7921 "^\\s-*port[ \t\n]*("
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7922 (save-excursion
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7923 (re-search-forward "^end\\>" nil t)) t))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7924 (setq end (save-excursion
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7925 (backward-char) (forward-sexp) (point)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7926 (vhdl-forward-syntactic-ws)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7927 (while (< (point) end)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7928 (when (looking-at "signal[ \t\n]+")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7929 (goto-char (match-end 0)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7930 (while (looking-at "\\(\\w+\\)[ \t\n,]+")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7931 (setq signal-list
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7932 (cons (downcase (match-string 1)) signal-list))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7933 (goto-char (match-end 0))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7934 (vhdl-forward-syntactic-ws))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7935 (re-search-forward ";" end 1)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7936 (vhdl-forward-syntactic-ws)))))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7937 ;; search for signals declared in architecture declarative part
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7938 (save-excursion
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7939 (if (not (and (setq beg (re-search-backward "^\\(architecture\\s-+\\w+\\s-+of\\s-+\\(\\w+\\)\\|end\\)\\>" nil t))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7940 (not (equal "END" (upcase (match-string 1))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7941 (setq end (re-search-forward "^begin\\>" nil t))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7942 (error "ERROR: No architecture declarative part found")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7943 ;; scan for all declared signal and alias names
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7944 (goto-char beg)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7945 (while (re-search-forward "^\\s-*\\(\\(signal\\)\\|alias\\)\\>" end t)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7946 (when (= 0 (nth 0 (parse-partial-sexp beg (point))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7947 (if (match-string 2)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7948 ;; scan signal name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7949 (while (looking-at "[ \t\n,]+\\(\\w+\\)")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7950 (setq signal-list
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7951 (cons (downcase (match-string 1)) signal-list))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7952 (goto-char (match-end 0)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7953 ;; scan alias name, check is alias of (declared) signal
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7954 (when (and (looking-at "[ \t\n]+\\(\\w+\\)[^;]*\\<is[ \t\n]+\\(\\w+\\)")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7955 (member (downcase (match-string 2)) signal-list))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7956 (setq signal-list
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7957 (cons (downcase (match-string 1)) signal-list))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7958 (goto-char (match-end 0))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7959 (setq beg (point))))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7960 ;; search for signals declared in surrounding block declarative parts
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7961 (save-excursion
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7962 (while (and (progn (while (and (setq beg (re-search-backward "^\\s-*\\(\\w+\\s-*:\\s-*block\\|\\(end\\)\\s-+block\\)\\>" nil t))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7963 (match-string 2))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7964 (goto-char (match-end 2))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7965 (vhdl-backward-sexp)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7966 (re-search-backward "^\\s-*\\w+\\s-*:\\s-*block\\>" nil t))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7967 beg)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7968 (setq end (re-search-forward "^\\s-*begin\\>" nil t)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7969 ;; scan for all declared signal names
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7970 (goto-char beg)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7971 (while (re-search-forward "^\\s-*\\(\\(signal\\)\\|alias\\)\\>" end t)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7972 (when (= 0 (nth 0 (parse-partial-sexp beg (point))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7973 (if (match-string 2)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7974 ;; scan signal name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7975 (while (looking-at "[ \t\n,]+\\(\\w+\\)")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7976 (setq signal-list
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7977 (cons (downcase (match-string 1)) signal-list))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7978 (goto-char (match-end 0)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7979 ;; scan alias name, check is alias of (declared) signal
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7980 (when (and (looking-at "[ \t\n]+\\(\\w+\\)[^;]*\\<is[ \t\n]+\\(\\w+\\)")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7981 (member (downcase (match-string 2)) signal-list))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7982 (setq signal-list
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7983 (cons (downcase (match-string 1)) signal-list))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7984 (goto-char (match-end 0))))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7985 (goto-char beg)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
7986 signal-list)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7987
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7988 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7989 ;; Generic/port clause fixing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7990
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7991 (defun vhdl-fix-clause ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7992 "Fix closing parenthesis within generic/port clause."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7993 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7994 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7995 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7996 (let ((pos (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7997 beg end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7998 (if (not (re-search-backward "^\\s-*\\(generic\\|port\\)[ \t\n]*(" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
7999 (error "ERROR: Not within a generic/port clause")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8000 ;; search for end of clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8001 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8002 (setq beg (1- (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8003 (vhdl-forward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8004 (while (looking-at "\\w+\\([ \t\n]*,[ \t\n]*\\w+\\)*[ \t\n]*:[ \t\n]*\\w+[^;]*;")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8005 (goto-char (1- (match-end 0)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8006 (setq end (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8007 (forward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8008 (vhdl-forward-syntactic-ws))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8009 (goto-char end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8010 (when (> pos (save-excursion (end-of-line) (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8011 (error "ERROR: Not within a generic/port clause"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8012 ;; delete closing parenthesis on separate line (not supported style)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8013 (when (save-excursion (beginning-of-line) (looking-at "^\\s-*);"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8014 (vhdl-line-kill)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8015 (vhdl-backward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8016 (setq end (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8017 (insert ";"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8018 ;; delete superfluous parentheses
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8019 (while (progn (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8020 (condition-case () (forward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8021 (error (goto-char (point-max))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8022 (< (point) end))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8023 (delete-backward-char 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8024 ;; add closing parenthesis
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8025 (when (> (point) end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8026 (goto-char end)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8027 (insert ")")))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8028
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8029 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8030 ;; Miscellaneous
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8031
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8032 (defun vhdl-remove-trailing-spaces ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8033 "Remove trailing spaces in the whole buffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8034 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8035 (save-match-data
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8036 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8037 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8038 (while (re-search-forward "[ \t]+$" (point-max) t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8039 (unless (vhdl-in-literal)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8040 (replace-match "" nil nil))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8041
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8042
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8043 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8044 ;;; Electrification
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8045 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8046
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8047 (defconst vhdl-template-prompt-syntax "[^ =<>][^<>@.\n]*[^ =<>]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8048 "Syntax of prompt inserted by template generators.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8049
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8050 (defvar vhdl-template-invoked-by-hook nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8051 "Indicates whether a template has been invoked by a hook or by key or menu.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8052 Used for undoing after template abortion.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8053
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8054 ;; correct different behavior of function `unread-command-events' in XEmacs
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8055 (defun vhdl-character-to-event (arg))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8056 (defalias 'vhdl-character-to-event
61431
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
8057 (if (fboundp 'character-to-event) 'character-to-event 'identity))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8058
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8059 (defun vhdl-work-library ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8060 "Return the working library name of the current project or \"work\" if no
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8061 project is defined."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8062 (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8063 (or (nth 6 (aget vhdl-project-alist vhdl-project)) vhdl-default-library)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8064
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8065 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8066 ;; Enabling/disabling
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8067
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8068 (defun vhdl-mode-line-update ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8069 "Update the modeline string for VHDL major mode."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8070 (setq mode-name (concat "VHDL"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8071 (and (or vhdl-electric-mode vhdl-stutter-mode) "/")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8072 (and vhdl-electric-mode "e")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8073 (and vhdl-stutter-mode "s")))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8074 (force-mode-line-update t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8075
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8076 (defun vhdl-electric-mode (arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8077 "Toggle VHDL electric mode.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8078 Turn on if ARG positive, turn off if ARG negative, toggle if ARG zero or nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8079 (interactive "P")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8080 (setq vhdl-electric-mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8081 (cond ((or (not arg) (zerop arg)) (not vhdl-electric-mode))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8082 ((> arg 0) t) (t nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8083 (vhdl-mode-line-update))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8084
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8085 (defun vhdl-stutter-mode (arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8086 "Toggle VHDL stuttering mode.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8087 Turn on if ARG positive, turn off if ARG negative, toggle if ARG zero or nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8088 (interactive "P")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8089 (setq vhdl-stutter-mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8090 (cond ((or (not arg) (zerop arg)) (not vhdl-stutter-mode))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8091 ((> arg 0) t) (t nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8092 (vhdl-mode-line-update))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8093
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8094 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8095 ;; Stuttering
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8096
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8097 (defun vhdl-electric-dash (count)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8098 "-- starts a comment, --- draws a horizontal line,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8099 ---- starts a display comment"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8100 (interactive "p")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8101 (if (and vhdl-stutter-mode (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8102 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8103 ((and abbrev-start-location (= abbrev-start-location (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8104 (setq abbrev-start-location nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8105 (goto-char last-abbrev-location)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8106 (beginning-of-line nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8107 (vhdl-comment-display))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8108 ((/= (preceding-char) ?-) ; standard dash (minus)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8109 (self-insert-command count))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8110 (t (self-insert-command count)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8111 (message "Enter '-' for horiz. line, 'CR' for commenting-out code, else enter comment")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8112 (let ((next-input (read-char)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8113 (if (= next-input ?-) ; triple dash
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8114 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8115 (vhdl-comment-display-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8116 (message
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8117 "Enter '-' for display comment, else continue coding")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8118 (let ((next-input (read-char)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8119 (if (= next-input ?-) ; four dashes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8120 (vhdl-comment-display t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8121 (setq unread-command-events ; pushback the char
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8122 (list (vhdl-character-to-event next-input))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8123 (setq unread-command-events ; pushback the char
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8124 (list (vhdl-character-to-event next-input)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8125 (vhdl-comment-insert)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8126 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8127
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8128 (defun vhdl-electric-open-bracket (count) "'[' --> '(', '([' --> '['"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8129 (interactive "p")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8130 (if (and vhdl-stutter-mode (= count 1) (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8131 (if (= (preceding-char) ?\()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8132 (progn (delete-char -1) (insert-char ?\[ 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8133 (insert-char ?\( 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8134 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8135
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8136 (defun vhdl-electric-close-bracket (count) "']' --> ')', ')]' --> ']'"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8137 (interactive "p")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8138 (if (and vhdl-stutter-mode (= count 1) (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8139 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8140 (if (= (preceding-char) ?\))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8141 (progn (delete-char -1) (insert-char ?\] 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8142 (insert-char ?\) 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8143 (blink-matching-open))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8144 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8145
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8146 (defun vhdl-electric-quote (count) "'' --> \""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8147 (interactive "p")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8148 (if (and vhdl-stutter-mode (= count 1) (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8149 (if (= (preceding-char) last-input-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8150 (progn (delete-backward-char 1) (insert-char ?\" 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8151 (insert-char ?\' 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8152 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8153
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8154 (defun vhdl-electric-semicolon (count) "';;' --> ' : ', ': ;' --> ' := '"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8155 (interactive "p")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8156 (if (and vhdl-stutter-mode (= count 1) (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8157 (cond ((= (preceding-char) last-input-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8158 (progn (delete-char -1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8159 (unless (eq (preceding-char) ? ) (insert " "))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8160 (insert ": ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8161 (setq this-command 'vhdl-electric-colon)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8162 ((and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8163 (eq last-command 'vhdl-electric-colon) (= (preceding-char) ? ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8164 (progn (delete-char -1) (insert "= ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8165 (t (insert-char ?\; 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8166 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8167
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8168 (defun vhdl-electric-comma (count) "',,' --> ' <= '"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8169 (interactive "p")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8170 (if (and vhdl-stutter-mode (= count 1) (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8171 (cond ((= (preceding-char) last-input-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8172 (progn (delete-char -1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8173 (unless (eq (preceding-char) ? ) (insert " "))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8174 (insert "<= ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8175 (t (insert-char ?\, 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8176 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8177
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8178 (defun vhdl-electric-period (count) "'..' --> ' => '"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8179 (interactive "p")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8180 (if (and vhdl-stutter-mode (= count 1) (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8181 (cond ((= (preceding-char) last-input-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8182 (progn (delete-char -1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8183 (unless (eq (preceding-char) ? ) (insert " "))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8184 (insert "=> ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8185 (t (insert-char ?\. 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8186 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8187
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8188 (defun vhdl-electric-equal (count) "'==' --> ' == '"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8189 (interactive "p")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8190 (if (and vhdl-stutter-mode (= count 1) (not (vhdl-in-literal)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8191 (cond ((= (preceding-char) last-input-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8192 (progn (delete-char -1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8193 (unless (eq (preceding-char) ? ) (insert " "))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8194 (insert "== ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8195 (t (insert-char ?\= 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8196 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8197
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8198 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8199 ;; VHDL templates
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8200
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8201 (defun vhdl-template-paired-parens ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8202 "Insert a pair of round parentheses, placing point between them."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8203 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8204 (insert "()")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8205 (backward-char))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8206
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8207 (defun vhdl-template-alias ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8208 "Insert alias declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8209 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8210 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8211 (vhdl-insert-keyword "ALIAS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8212 (when (vhdl-template-field "name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8213 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8214 (unless (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8215 (concat "[type" (and (vhdl-standard-p 'ams) " or nature") "]")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8216 nil t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8217 (delete-backward-char 3))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8218 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8219 (vhdl-template-field "name" ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8220 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8221
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8222 (defun vhdl-template-architecture ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8223 "Insert architecture."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8224 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8225 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8226 (start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8227 arch-name)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8228 (vhdl-insert-keyword "ARCHITECTURE ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8229 (when (setq arch-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8230 (vhdl-template-field "name" nil t start (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8231 (vhdl-insert-keyword " OF ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8232 (if (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8233 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8234 (vhdl-re-search-backward "\\<entity \\(\\w+\\) is\\>" nil t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8235 (insert (match-string 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8236 (vhdl-template-field "entity name"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8237 (vhdl-insert-keyword " IS\n")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8238 (vhdl-template-begin-end
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8239 (unless (vhdl-standard-p '87) "ARCHITECTURE") arch-name margin
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8240 (memq vhdl-insert-empty-lines '(unit all))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8241
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8242 (defun vhdl-template-array (kind &optional secondary)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8243 "Insert array type definition."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8244 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8245 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8246 (vhdl-insert-keyword "ARRAY (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8247 (when (or (vhdl-template-field "range" nil (not secondary) start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8248 secondary)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8249 (vhdl-insert-keyword ") OF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8250 (vhdl-template-field (if (eq kind 'type) "type" "nature"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8251 (vhdl-insert-keyword ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8252
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8253 (defun vhdl-template-assert ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8254 "Insert an assertion statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8255 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8256 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8257 (vhdl-insert-keyword "ASSERT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8258 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8259 (when (vhdl-template-field "condition (negated)" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8260 (when vhdl-conditions-in-parenthesis (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8261 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8262 (vhdl-insert-keyword " REPORT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8263 (unless (vhdl-template-field "string expression" nil nil nil nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8264 (delete-region start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8265 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8266 (vhdl-insert-keyword " SEVERITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8267 (unless (vhdl-template-field "[NOTE | WARNING | ERROR | FAILURE]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8268 (delete-region start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8269 (insert ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8270
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8271 (defun vhdl-template-attribute ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8272 "Insert an attribute declaration or specification."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8273 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8274 (if (eq (vhdl-decision-query
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8275 "attribute" "(d)eclaration or (s)pecification?" t) ?s)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8276 (vhdl-template-attribute-spec)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8277 (vhdl-template-attribute-decl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8278
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8279 (defun vhdl-template-attribute-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8280 "Insert an attribute declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8281 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8282 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8283 (vhdl-insert-keyword "ATTRIBUTE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8284 (when (vhdl-template-field "name" " : " t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8285 (vhdl-template-field "type" ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8286 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8287
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8288 (defun vhdl-template-attribute-spec ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8289 "Insert an attribute specification."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8290 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8291 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8292 (vhdl-insert-keyword "ATTRIBUTE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8293 (when (vhdl-template-field "name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8294 (vhdl-insert-keyword " OF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8295 (vhdl-template-field "entity names | OTHERS | ALL" " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8296 (vhdl-template-field "entity class")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8297 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8298 (vhdl-template-field "expression" ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8299
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8300 (defun vhdl-template-block ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8301 "Insert a block."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8302 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8303 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8304 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8305 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8306 (vhdl-insert-keyword ": BLOCK ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8307 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8308 (when (setq label (vhdl-template-field "label" nil t start (+ (point) 8)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8309 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8310 (forward-char 1)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8311 (insert "(")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8312 (if (vhdl-template-field "[guard expression]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8313 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8314 (delete-char -2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8315 (unless (vhdl-standard-p '87) (vhdl-insert-keyword " IS"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8316 (insert "\n")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8317 (vhdl-template-begin-end "BLOCK" label margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8318 (vhdl-comment-block))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8319
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8320 (defun vhdl-template-block-configuration ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8321 "Insert a block configuration statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8322 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8323 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8324 (start (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8325 (vhdl-insert-keyword "FOR ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8326 (when (vhdl-template-field "block name" nil t start (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8327 (vhdl-insert-keyword "\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8328 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8329 (vhdl-insert-keyword "END FOR;")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8330 (end-of-line 0)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8331 (indent-to (+ margin vhdl-basic-offset)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8332
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8333 (defun vhdl-template-break ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8334 "Insert a break statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8335 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8336 (let (position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8337 (vhdl-insert-keyword "BREAK")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8338 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8339 (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8340 (while (or
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8341 (progn (vhdl-insert-keyword "FOR ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8342 (if (vhdl-template-field "[quantity name]" " USE " t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8343 (progn (vhdl-template-field "quantity name" " => ") t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8344 (kill-word -1) nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8345 (vhdl-template-field "[quantity name]" " => " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8346 (vhdl-template-field "expression")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8347 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8348 (insert ", "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8349 (delete-region position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8350 (unless (vhdl-sequential-statement-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8351 (vhdl-insert-keyword " ON ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8352 (if (vhdl-template-field "[sensitivity list]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8353 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8354 (delete-region position (point))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8355 (vhdl-insert-keyword " WHEN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8356 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8357 (if (vhdl-template-field "[condition]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8358 (when vhdl-conditions-in-parenthesis (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8359 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8360 (insert ";")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8361
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8362 (defun vhdl-template-case (&optional kind)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8363 "Insert a case statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8364 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8365 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8366 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8367 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8368 (unless kind (setq kind (if (vhdl-sequential-statement-p) 'is 'use)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8369 (if (or (not (eq vhdl-optional-labels 'all)) (vhdl-standard-p '87))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8370 (vhdl-insert-keyword "CASE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8371 (vhdl-insert-keyword ": CASE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8372 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8373 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8374 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8375 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8376 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8377 (when (vhdl-template-field "expression" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8378 (vhdl-insert-keyword (concat " " (if (eq kind 'is) "IS" "USE") "\n\n"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8379 (indent-to margin)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8380 (vhdl-insert-keyword "END CASE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8381 (when label (insert " " label))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8382 (insert ";")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8383 (forward-line -1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8384 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8385 (vhdl-insert-keyword "WHEN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8386 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8387 (insert " => ;\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8388 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8389 (vhdl-insert-keyword "WHEN OTHERS => null;")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8390 (goto-char position)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8391
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8392 (defun vhdl-template-case-is ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8393 "Insert a sequential case statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8394 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8395 (vhdl-template-case 'is))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8396
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8397 (defun vhdl-template-case-use ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8398 "Insert a simultaneous case statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8399 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8400 (vhdl-template-case 'use))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8401
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8402 (defun vhdl-template-component ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8403 "Insert a component declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8404 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8405 (vhdl-template-component-decl))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8406
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8407 (defun vhdl-template-component-conf ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8408 "Insert a component configuration (uses `vhdl-template-configuration-spec'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8409 since these are almost equivalent)."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8410 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8411 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8412 (result (vhdl-template-configuration-spec t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8413 (when result
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8414 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8415 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8416 (vhdl-insert-keyword "END FOR;")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8417 (when (eq result 'no-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8418 (end-of-line -0)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8419
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8420 (defun vhdl-template-component-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8421 "Insert a component declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8422 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8423 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8424 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8425 name end-column)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8426 (vhdl-insert-keyword "COMPONENT ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8427 (when (setq name (vhdl-template-field "name" nil t start (point)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8428 (unless (vhdl-standard-p '87) (vhdl-insert-keyword " IS"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8429 (insert "\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8430 (indent-to margin)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8431 (vhdl-insert-keyword "END COMPONENT")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8432 (unless (vhdl-standard-p '87) (insert " " name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8433 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8434 (setq end-column (current-column))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8435 (end-of-line -0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8436 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8437 (vhdl-template-generic-list t t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8438 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8439 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8440 (vhdl-template-port-list t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8441 (beginning-of-line 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8442 (forward-char end-column))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8443
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8444 (defun vhdl-template-component-inst ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8445 "Insert a component instantiation statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8446 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8447 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8448 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8449 unit position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8450 (when (vhdl-template-field "instance label" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8451 (insert ": ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8452 (if (not (vhdl-use-direct-instantiation))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8453 (vhdl-template-field "component name")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8454 ;; direct instantiation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8455 (setq unit (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8456 "[COMPONENT | ENTITY | CONFIGURATION]" " " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8457 (setq unit (upcase (or unit "")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8458 (cond ((equal unit "ENTITY")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8459 (vhdl-template-field "library name" "." nil nil nil nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8460 (vhdl-work-library))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8461 (vhdl-template-field "entity name" "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8462 (if (vhdl-template-field "[architecture name]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8463 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8464 (delete-char -1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8465 ((equal unit "CONFIGURATION")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8466 (vhdl-template-field "library name" "." nil nil nil nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8467 (vhdl-work-library))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8468 (vhdl-template-field "configuration name"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8469 (t (vhdl-template-field "component name"))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8470 (insert "\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8471 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8472 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8473 (vhdl-insert-keyword "GENERIC ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8474 (when (vhdl-template-map position t t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8475 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8476 (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8477 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8478 (vhdl-insert-keyword "PORT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8479 (unless (vhdl-template-map position t t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8480 (kill-line -0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8481 (delete-char -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8482 (insert ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8483
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8484 (defun vhdl-template-conditional-signal-asst ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8485 "Insert a conditional signal assignment."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8486 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8487 (when (vhdl-template-field "target signal")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8488 (insert " <= ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8489 ; (if (not (equal (vhdl-template-field "[GUARDED] [TRANSPORT]") ""))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8490 ; (insert " "))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8491 (let ((margin (current-column))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8492 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8493 position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8494 (vhdl-template-field "waveform")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8495 (setq position (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8496 (vhdl-insert-keyword " WHEN ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8497 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8498 (while (and (vhdl-template-field "[condition]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8499 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8500 (when vhdl-conditions-in-parenthesis (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8501 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8502 (vhdl-insert-keyword " ELSE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8503 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8504 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8505 (vhdl-template-field "[waveform]" nil t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8506 (setq position (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8507 (vhdl-insert-keyword " WHEN ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8508 (when vhdl-conditions-in-parenthesis (insert "(")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8509 (delete-region position (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8510 (insert ";")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8511 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8512
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8513 (defun vhdl-template-configuration ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8514 "Insert a configuration specification if within an architecture,
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8515 a block or component configuration if within a configuration declaration,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8516 a configuration declaration if not within a design unit."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8517 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8518 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8519 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8520 ((and (save-excursion ; architecture body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8521 (re-search-backward "^\\(architecture\\|end\\)\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8522 (equal "ARCHITECTURE" (upcase (match-string 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8523 (vhdl-template-configuration-spec))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8524 ((and (save-excursion ; configuration declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8525 (re-search-backward "^\\(configuration\\|end\\)\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8526 (equal "CONFIGURATION" (upcase (match-string 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8527 (if (eq (vhdl-decision-query
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8528 "configuration" "(b)lock or (c)omponent configuration?" t) ?c)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8529 (vhdl-template-component-conf)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8530 (vhdl-template-block-configuration)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8531 (t (vhdl-template-configuration-decl))))) ; otherwise
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8532
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8533 (defun vhdl-template-configuration-spec (&optional optional-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8534 "Insert a configuration specification."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8535 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8536 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8537 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8538 aspect position)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8539 (vhdl-insert-keyword "FOR ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8540 (when (vhdl-template-field "instance names | OTHERS | ALL" " : "
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8541 t start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8542 (vhdl-template-field "component name" "\n")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8543 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8544 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8545 (vhdl-insert-keyword "USE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8546 (if (and optional-use
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8547 (not (setq aspect (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8548 "[ENTITY | CONFIGURATION | OPEN]" " " t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8549 (progn (delete-region start (point)) 'no-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8550 (unless optional-use
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8551 (setq aspect (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8552 "ENTITY | CONFIGURATION | OPEN" " ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8553 (setq aspect (upcase (or aspect "")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8554 (cond ((equal aspect "ENTITY")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8555 (vhdl-template-field "library name" "." nil nil nil nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8556 (vhdl-work-library))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8557 (vhdl-template-field "entity name" "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8558 (if (vhdl-template-field "[architecture name]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8559 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8560 (delete-char -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8561 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8562 (indent-to (+ margin (* 2 vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8563 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8564 (vhdl-insert-keyword "GENERIC ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8565 (when (vhdl-template-map position t t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8566 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8567 (indent-to (+ margin (* 2 vhdl-basic-offset))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8568 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8569 (vhdl-insert-keyword "PORT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8570 (unless (vhdl-template-map position t t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8571 (kill-line -0)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8572 (delete-char -1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8573 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8574 t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8575 ((equal aspect "CONFIGURATION")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8576 (vhdl-template-field "library name" "." nil nil nil nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8577 (vhdl-work-library))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8578 (vhdl-template-field "configuration name" ";"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8579 (t (delete-backward-char 1) (insert ";") t))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8580
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8581
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8582 (defun vhdl-template-configuration-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8583 "Insert a configuration declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8584 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8585 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8586 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8587 entity-exists string name position)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8588 (vhdl-insert-keyword "CONFIGURATION ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8589 (when (setq name (vhdl-template-field "name" nil t start (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8590 (vhdl-insert-keyword " OF ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8591 (save-excursion
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8592 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8593 (setq entity-exists (vhdl-re-search-backward
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8594 "\\<entity \\(\\w*\\) is\\>" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8595 (setq string (match-string 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8596 (if (and entity-exists (not (equal string "")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8597 (insert string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8598 (vhdl-template-field "entity name"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8599 (vhdl-insert-keyword " IS\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8600 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8601 (indent-to (+ margin vhdl-basic-offset))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8602 (setq position (point))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8603 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8604 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8605 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8606 (vhdl-insert-keyword "END ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8607 (unless (vhdl-standard-p '87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8608 (vhdl-insert-keyword "CONFIGURATION "))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8609 (insert name ";")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8610 (goto-char position))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8611
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8612 (defun vhdl-template-constant ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8613 "Insert a constant declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8614 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8615 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8616 (in-arglist (vhdl-in-argument-list-p)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8617 (vhdl-insert-keyword "CONSTANT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8618 (when (vhdl-template-field "name" nil t start (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8619 (insert " : ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8620 (when in-arglist (vhdl-insert-keyword "IN "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8621 (vhdl-template-field "type")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8622 (if in-arglist
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8623 (progn (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8624 (vhdl-comment-insert-inline))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8625 (let ((position (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8626 (insert " := ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8627 (unless (vhdl-template-field "[initialization]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8628 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8629 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8630 (vhdl-comment-insert-inline))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8631
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8632 (defun vhdl-template-default ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8633 "Insert nothing."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8634 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8635 (insert " ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8636 (unexpand-abbrev)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8637 (backward-word 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8638 (vhdl-case-word 1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8639 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8640
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8641 (defun vhdl-template-default-indent ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8642 "Insert nothing and indent."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8643 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8644 (insert " ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8645 (unexpand-abbrev)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8646 (backward-word 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8647 (vhdl-case-word 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8648 (forward-char 1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8649 (indent-according-to-mode))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8650
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8651 (defun vhdl-template-disconnect ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8652 "Insert a disconnect statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8653 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8654 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8655 (vhdl-insert-keyword "DISCONNECT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8656 (when (vhdl-template-field "signal names | OTHERS | ALL"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8657 " : " t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8658 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8659 (vhdl-insert-keyword " AFTER ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8660 (vhdl-template-field "time expression" ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8661
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8662 (defun vhdl-template-else ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8663 "Insert an else statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8664 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8665 (let (margin)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8666 (vhdl-prepare-search-1
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8667 (vhdl-insert-keyword "ELSE")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8668 (if (and (save-excursion (vhdl-re-search-backward "\\(\\<when\\>\\|;\\)" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8669 (equal "WHEN" (upcase (match-string 1))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8670 (insert " ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8671 (indent-according-to-mode)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8672 (setq margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8673 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8674 (indent-to (+ margin vhdl-basic-offset))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8675
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8676 (defun vhdl-template-elsif ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8677 "Insert an elsif statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8678 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8679 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8680 margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8681 (vhdl-insert-keyword "ELSIF ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8682 (when (or (vhdl-sequential-statement-p) (vhdl-standard-p 'ams))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8683 (when vhdl-conditions-in-parenthesis (insert "("))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8684 (when (vhdl-template-field "condition" nil t start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8685 (when vhdl-conditions-in-parenthesis (insert ")"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8686 (indent-according-to-mode)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8687 (setq margin (current-indentation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8688 (vhdl-insert-keyword
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8689 (concat " " (if (vhdl-sequential-statement-p) "THEN" "USE") "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8690 (indent-to (+ margin vhdl-basic-offset))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8691
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8692 (defun vhdl-template-entity ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8693 "Insert an entity."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8694 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8695 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8696 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8697 name end-column)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8698 (vhdl-insert-keyword "ENTITY ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8699 (when (setq name (vhdl-template-field "name" nil t start (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8700 (vhdl-insert-keyword " IS\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8701 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8702 (vhdl-insert-keyword "END ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8703 (unless (vhdl-standard-p '87) (vhdl-insert-keyword "ENTITY "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8704 (insert name ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8705 (setq end-column (current-column))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8706 (end-of-line -0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8707 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8708 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8709 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8710 (when (vhdl-template-generic-list t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8711 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8712 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8713 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8714 (when (vhdl-template-port-list t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8715 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8716 (beginning-of-line 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8717 (forward-char end-column))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8718
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8719 (defun vhdl-template-exit ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8720 "Insert an exit statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8721 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8722 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8723 (vhdl-insert-keyword "EXIT ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8724 (if (vhdl-template-field "[loop label]" nil t start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8725 (let ((position (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8726 (vhdl-insert-keyword " WHEN ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8727 (when vhdl-conditions-in-parenthesis (insert "("))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8728 (if (vhdl-template-field "[condition]" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8729 (when vhdl-conditions-in-parenthesis (insert ")"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8730 (delete-region position (point))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8731 (delete-char -1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8732 (insert ";")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8733
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8734 (defun vhdl-template-file ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8735 "Insert a file declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8736 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8737 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8738 (vhdl-insert-keyword "FILE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8739 (when (vhdl-template-field "name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8740 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8741 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8742 (unless (vhdl-standard-p '87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8743 (vhdl-insert-keyword " OPEN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8744 (unless (vhdl-template-field "[READ_MODE | WRITE_MODE | APPEND_MODE]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8745 nil t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8746 (delete-backward-char 6)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8747 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8748 (when (vhdl-standard-p '87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8749 (vhdl-template-field "[IN | OUT]" " " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8750 (vhdl-template-field "filename-string" nil nil nil nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8751 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8752 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8753
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8754 (defun vhdl-template-for ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8755 "Insert a block or component configuration if within a configuration
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8756 declaration, a configuration specification if within an architecture
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8757 declarative part (and not within a subprogram), a for-loop if within a
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8758 sequential statement part (subprogram or process), and a for-generate
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8759 otherwise."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8760 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8761 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8762 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8763 ((vhdl-sequential-statement-p) ; sequential statement
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8764 (vhdl-template-for-loop))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8765 ((and (save-excursion ; configuration declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8766 (re-search-backward "^\\(configuration\\|end\\)\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8767 (equal "CONFIGURATION" (upcase (match-string 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8768 (if (eq (vhdl-decision-query
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8769 "for" "(b)lock or (c)omponent configuration?" t) ?c)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8770 (vhdl-template-component-conf)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8771 (vhdl-template-block-configuration)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8772 ((and (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8773 (re-search-backward ; architecture declarative part
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8774 "^\\(architecture\\|entity\\|begin\\|end\\)\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8775 (equal "ARCHITECTURE" (upcase (match-string 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8776 (vhdl-template-configuration-spec))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8777 (t (vhdl-template-for-generate))))) ; concurrent statement
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8778
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8779 (defun vhdl-template-for-generate ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8780 "Insert a for-generate."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8781 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8782 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8783 (start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8784 label position)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8785 (vhdl-insert-keyword ": FOR ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8786 (setq position (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8787 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8788 (when (setq label (vhdl-template-field "label" nil t start position))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8789 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8790 (vhdl-template-field "loop variable")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8791 (vhdl-insert-keyword " IN ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8792 (vhdl-template-field "range")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8793 (vhdl-template-generate-body margin label))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8794
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8795 (defun vhdl-template-for-loop ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8796 "Insert a for loop."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8797 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8798 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8799 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8800 label index)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8801 (if (not (eq vhdl-optional-labels 'all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8802 (vhdl-insert-keyword "FOR ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8803 (vhdl-insert-keyword ": FOR ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8804 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8805 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8806 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8807 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8808 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8809 (when (setq index (vhdl-template-field "loop variable"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8810 nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8811 (vhdl-insert-keyword " IN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8812 (vhdl-template-field "range")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8813 (vhdl-insert-keyword " LOOP\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8814 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8815 (vhdl-insert-keyword "END LOOP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8816 (if label
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8817 (insert " " label ";")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8818 (insert ";")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8819 (when vhdl-self-insert-comments (insert " -- " index)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8820 (forward-line -1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8821 (indent-to (+ margin vhdl-basic-offset)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8822
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8823 (defun vhdl-template-function (&optional kind)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8824 "Insert a function declaration or body."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8825 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8826 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8827 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8828 name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8829 (vhdl-insert-keyword "FUNCTION ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8830 (when (setq name (vhdl-template-field "name" nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8831 (vhdl-template-argument-list t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8832 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8833 (end-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8834 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8835 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8836 (vhdl-insert-keyword "RETURN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8837 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8838 (if (if kind (eq kind 'body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8839 (eq (vhdl-decision-query nil "(d)eclaration or (b)ody?") ?b))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8840 (progn (vhdl-insert-keyword " IS\n")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8841 (vhdl-template-begin-end
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8842 (unless (vhdl-standard-p '87) "FUNCTION") name margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8843 (vhdl-comment-block))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8844 (insert ";")))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8845
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8846 (defun vhdl-template-function-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8847 "Insert a function declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8848 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8849 (vhdl-template-function 'decl))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8850
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8851 (defun vhdl-template-function-body ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8852 "Insert a function declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8853 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8854 (vhdl-template-function 'body))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8855
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8856 (defun vhdl-template-generate ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8857 "Insert a generation scheme."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8858 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8859 (if (eq (vhdl-decision-query nil "(f)or or (i)f?" t) ?i)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8860 (vhdl-template-if-generate)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8861 (vhdl-template-for-generate)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8862
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8863 (defun vhdl-template-generic ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8864 "Insert generic declaration, or generic map in instantiation statements."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8865 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8866 (let ((start (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8867 (vhdl-prepare-search-1
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8868 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8869 ((and (save-excursion ; entity declaration
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8870 (re-search-backward "^\\(entity\\|end\\)\\>" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8871 (equal "ENTITY" (upcase (match-string 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8872 (vhdl-template-generic-list nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8873 ((or (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8874 (or (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8875 (looking-at "^\\s-*\\w+\\s-*:\\s-*\\w+")))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8876 (equal 'statement-cont (caar (vhdl-get-syntactic-context))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8877 (vhdl-insert-keyword "GENERIC ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8878 (vhdl-template-map start))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8879 (t (vhdl-template-generic-list nil t))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8880
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8881 (defun vhdl-template-group ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8882 "Insert group or group template declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8883 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8884 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8885 (if (eq (vhdl-decision-query
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8886 "group" "(d)eclaration or (t)emplate declaration?" t) ?t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8887 (vhdl-template-group-template)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8888 (vhdl-template-group-decl))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8889
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8890 (defun vhdl-template-group-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8891 "Insert group declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8892 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8893 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8894 (vhdl-insert-keyword "GROUP ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8895 (when (vhdl-template-field "name" " : " t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8896 (vhdl-template-field "template name" " (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8897 (vhdl-template-field "constituent list" ");")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8898 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8899
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8900 (defun vhdl-template-group-template ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8901 "Insert group template declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8902 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8903 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8904 (vhdl-insert-keyword "GROUP ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8905 (when (vhdl-template-field "template name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8906 (vhdl-insert-keyword " IS (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8907 (vhdl-template-field "entity class list" ");")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8908 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8909
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8910 (defun vhdl-template-if ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8911 "Insert a sequential if statement or an if-generate statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8912 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8913 (if (vhdl-sequential-statement-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8914 (vhdl-template-if-then)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8915 (if (and (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8916 (eq (vhdl-decision-query "if" "(g)enerate or (u)se?" t) ?u))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8917 (vhdl-template-if-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8918 (vhdl-template-if-generate))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8919
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8920 (defun vhdl-template-if-generate ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8921 "Insert an if-generate."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8922 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8923 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8924 (start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
8925 label position)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8926 (vhdl-insert-keyword ": IF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8927 (setq position (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8928 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8929 (when (setq label (vhdl-template-field "label" nil t start position))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8930 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8931 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8932 (vhdl-template-field "condition")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8933 (when vhdl-conditions-in-parenthesis (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8934 (vhdl-template-generate-body margin label))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8935
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8936 (defun vhdl-template-if-then-use (kind)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8937 "Insert a sequential if statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8938 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8939 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8940 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8941 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8942 (if (or (not (eq vhdl-optional-labels 'all)) (vhdl-standard-p '87))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8943 (vhdl-insert-keyword "IF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8944 (vhdl-insert-keyword ": IF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8945 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8946 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8947 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8948 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8949 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8950 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8951 (when (vhdl-template-field "condition" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8952 (when vhdl-conditions-in-parenthesis (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8953 (vhdl-insert-keyword
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8954 (concat " " (if (eq kind 'then) "THEN" "USE") "\n\n"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8955 (indent-to margin)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8956 (vhdl-insert-keyword "END IF")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8957 (when label (insert " " label))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8958 (insert ";")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8959 (forward-line -1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8960 (indent-to (+ margin vhdl-basic-offset)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8961
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8962 (defun vhdl-template-if-then ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8963 "Insert a sequential if statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8964 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8965 (vhdl-template-if-then-use 'then))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8966
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8967 (defun vhdl-template-if-use ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8968 "Insert a simultaneous if statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8969 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8970 (vhdl-template-if-then-use 'use))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8971
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8972 (defun vhdl-template-instance ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8973 "Insert a component instantiation statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8974 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8975 (vhdl-template-component-inst))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8976
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8977 (defun vhdl-template-library ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8978 "Insert a library specification."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8979 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8980 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8981 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8982 name end-pos)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8983 (vhdl-insert-keyword "LIBRARY ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8984 (when (setq name (vhdl-template-field "names" nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8985 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8986 (unless (string-match "," name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8987 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8988 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8989 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8990 (vhdl-insert-keyword "USE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8991 (insert name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8992 (vhdl-insert-keyword "..ALL;")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8993 (backward-char 5)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8994 (if (vhdl-template-field "package name")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8995 (forward-char 5)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8996 (delete-region end-pos (+ (point) 5)))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8997
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8998 (defun vhdl-template-limit ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8999 "Insert a limit."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9000 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9001 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9002 (vhdl-insert-keyword "LIMIT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9003 (when (vhdl-template-field "quantity names | OTHERS | ALL" " : "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9004 t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9005 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9006 (vhdl-insert-keyword " WITH ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9007 (vhdl-template-field "real expression" ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9008
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9009 (defun vhdl-template-loop ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9010 "Insert a loop."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9011 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9012 (let ((char (vhdl-decision-query nil "(w)hile, (f)or, or (b)are?" t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9013 (cond ((eq char ?w)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9014 (vhdl-template-while-loop))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9015 ((eq char ?f)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9016 (vhdl-template-for-loop))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9017 (t (vhdl-template-bare-loop)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9018
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9019 (defun vhdl-template-bare-loop ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9020 "Insert a loop."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9021 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9022 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9023 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9024 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9025 (if (not (eq vhdl-optional-labels 'all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9026 (vhdl-insert-keyword "LOOP ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9027 (vhdl-insert-keyword ": LOOP ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9028 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9029 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9030 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9031 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9032 (delete-char 1))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9033 (insert "\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9034 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9035 (vhdl-insert-keyword "END LOOP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9036 (insert (if label (concat " " label ";") ";"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9037 (forward-line -1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9038 (indent-to (+ margin vhdl-basic-offset))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9039
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9040 (defun vhdl-template-map (&optional start optional secondary)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9041 "Insert a map specification with association list."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9042 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9043 (let ((start (or start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9044 margin end-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9045 (vhdl-insert-keyword "MAP (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9046 (if (not vhdl-association-list-with-formals)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9047 (if (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9048 (concat (and optional "[") "association list" (and optional "]"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9049 ")" (or (not secondary) optional)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9050 (and (not secondary) start) (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9051 t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9052 (if (and optional secondary) (delete-region start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9053 nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9054 (if vhdl-argument-list-indent
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9055 (setq margin (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9056 (setq margin (+ (current-indentation) vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9057 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9058 (indent-to margin))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9059 (if (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9060 (concat (and optional "[") "formal" (and optional "]"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9061 " => " (or (not secondary) optional)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9062 (and (not secondary) start) (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9063 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9064 (vhdl-template-field "actual" ",")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9065 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9066 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9067 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9068 (while (vhdl-template-field "[formal]" " => " t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9069 (vhdl-template-field "actual" ",")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9070 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9071 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9072 (indent-to margin))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9073 (delete-region end-pos (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9074 (delete-backward-char 1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9075 (insert ")")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9076 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9077 t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9078 (when (and optional secondary) (delete-region start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9079 nil))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9080
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9081 (defun vhdl-template-modify (&optional noerror)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9082 "Actualize modification date."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9083 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9084 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9085 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9086 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9087 (if (re-search-forward vhdl-modify-date-prefix-string nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9088 (progn (delete-region (point) (progn (end-of-line) (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9089 (vhdl-template-insert-date))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9090 (unless noerror
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9091 (error (concat "ERROR: Modification date prefix string \""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9092 vhdl-modify-date-prefix-string "\" not found")))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9093
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9094 (defun vhdl-template-modify-noerror ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9095 "Call `vhdl-template-modify' with NOERROR non-nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9096 (vhdl-template-modify t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9097
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9098 (defun vhdl-template-nature ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9099 "Insert a nature declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9100 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9101 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9102 name mid-pos end-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9103 (vhdl-insert-keyword "NATURE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9104 (when (setq name (vhdl-template-field "name" nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9105 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9106 (let ((definition
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9107 (upcase
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9108 (or (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9109 "across type | ARRAY | RECORD")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9110 ""))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9111 (cond ((equal definition "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9112 (insert ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9113 ((equal definition "ARRAY")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9114 (kill-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9115 (vhdl-template-array 'nature t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9116 ((equal definition "RECORD")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9117 (setq mid-pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9118 (kill-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9119 (vhdl-template-record 'nature name t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9120 (t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9121 (vhdl-insert-keyword " ACROSS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9122 (vhdl-template-field "through type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9123 (vhdl-insert-keyword " THROUGH ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9124 (vhdl-template-field "reference name")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9125 (vhdl-insert-keyword " REFERENCE;")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9126 (when mid-pos
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9127 (setq end-pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9128 (goto-char mid-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9129 (end-of-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9130 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9131 (when end-pos (goto-char end-pos))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9132
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9133 (defun vhdl-template-next ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9134 "Insert a next statement."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9135 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9136 (let ((start (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9137 (vhdl-insert-keyword "NEXT ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9138 (if (vhdl-template-field "[loop label]" nil t start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9139 (let ((position (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9140 (vhdl-insert-keyword " WHEN ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9141 (when vhdl-conditions-in-parenthesis (insert "("))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9142 (if (vhdl-template-field "[condition]" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9143 (when vhdl-conditions-in-parenthesis (insert ")"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9144 (delete-region position (point))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9145 (delete-char -1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9146 (insert ";")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9147
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9148 (defun vhdl-template-others ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9149 "Insert an others aggregate."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9150 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9151 (let ((start (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9152 (if (or (= (preceding-char) ?\() (not vhdl-template-invoked-by-hook))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9153 (progn (unless vhdl-template-invoked-by-hook (insert "("))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9154 (vhdl-insert-keyword "OTHERS => '")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9155 (when (vhdl-template-field "value" nil t start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9156 (insert "')")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9157 (vhdl-insert-keyword "OTHERS "))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9158
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9159 (defun vhdl-template-package (&optional kind)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9160 "Insert a package specification or body."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9161 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9162 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9163 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9164 name body position)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9165 (vhdl-insert-keyword "PACKAGE ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9166 (setq body (if kind (eq kind 'body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9167 (eq (vhdl-decision-query nil "(d)eclaration or (b)ody?") ?b)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9168 (when body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9169 (vhdl-insert-keyword "BODY ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9170 (when (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9171 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9172 (vhdl-re-search-backward "\\<package \\(\\w+\\) is\\>" nil t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9173 (insert (setq name (match-string 1)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9174 (when (or name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9175 (setq name (vhdl-template-field "name" nil t start (point))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9176 (vhdl-insert-keyword " IS\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9177 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9178 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9179 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9180 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9181 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9182 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9183 (vhdl-insert-keyword "END ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9184 (unless (vhdl-standard-p '87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9185 (vhdl-insert-keyword (concat "PACKAGE " (and body "BODY "))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9186 (insert (or name "") ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9187 (goto-char position))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9188
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9189 (defun vhdl-template-package-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9190 "Insert a package specification."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9191 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9192 (vhdl-template-package 'decl))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9193
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9194 (defun vhdl-template-package-body ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9195 "Insert a package body."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9196 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9197 (vhdl-template-package 'body))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9198
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9199 (defun vhdl-template-port ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9200 "Insert a port declaration, or port map in instantiation statements."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9201 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9202 (let ((start (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9203 (vhdl-prepare-search-1
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9204 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9205 ((and (save-excursion ; entity declaration
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9206 (re-search-backward "^\\(entity\\|end\\)\\>" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9207 (equal "ENTITY" (upcase (match-string 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9208 (vhdl-template-port-list nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9209 ((or (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9210 (or (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9211 (looking-at "^\\s-*\\w+\\s-*:\\s-*\\w+")))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9212 (equal 'statement-cont (caar (vhdl-get-syntactic-context))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9213 (vhdl-insert-keyword "PORT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9214 (vhdl-template-map start))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9215 (t (vhdl-template-port-list nil))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9216
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9217 (defun vhdl-template-procedural ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9218 "Insert a procedural."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9219 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9220 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9221 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9222 (case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9223 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9224 (vhdl-insert-keyword "PROCEDURAL ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9225 (when (memq vhdl-optional-labels '(process all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9226 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9227 (insert ": ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9228 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9229 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9230 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9231 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9232 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9233 (unless (vhdl-standard-p '87) (vhdl-insert-keyword "IS"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9234 (insert "\n")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9235 (vhdl-template-begin-end "PROCEDURAL" label margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9236 (vhdl-comment-block)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9237
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9238 (defun vhdl-template-procedure (&optional kind)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9239 "Insert a procedure declaration or body."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9240 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9241 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9242 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9243 name)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9244 (vhdl-insert-keyword "PROCEDURE ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9245 (when (setq name (vhdl-template-field "name" nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9246 (vhdl-template-argument-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9247 (if (if kind (eq kind 'body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9248 (eq (vhdl-decision-query nil "(d)eclaration or (b)ody?") ?b))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9249 (progn (vhdl-insert-keyword " IS")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9250 (when vhdl-auto-align
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9251 (vhdl-align-region-groups start (point) 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9252 (end-of-line) (insert "\n")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9253 (vhdl-template-begin-end
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9254 (unless (vhdl-standard-p '87) "PROCEDURE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9255 name margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9256 (vhdl-comment-block))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9257 (insert ";")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9258 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9259 (end-of-line)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9260
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9261 (defun vhdl-template-procedure-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9262 "Insert a procedure declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9263 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9264 (vhdl-template-procedure 'decl))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9265
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9266 (defun vhdl-template-procedure-body ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9267 "Insert a procedure body."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9268 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9269 (vhdl-template-procedure 'body))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9270
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9271 (defun vhdl-template-process (&optional kind)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9272 "Insert a process."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9273 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9274 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9275 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9276 label seq input-signals clock reset final-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9277 (setq seq (if kind (eq kind 'seq)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9278 (eq (vhdl-decision-query
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9279 "process" "(c)ombinational or (s)equential?" t) ?s)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9280 (vhdl-insert-keyword "PROCESS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9281 (when (memq vhdl-optional-labels '(process all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9282 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9283 (insert ": ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9284 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9285 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9286 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9287 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9288 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9289 (insert "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9290 (if (not seq)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9291 (unless (setq input-signals
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9292 (vhdl-template-field "[sensitivity list]" ")" t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9293 (setq input-signals "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9294 (delete-char -2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9295 (setq clock (or (and (not (equal "" vhdl-clock-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9296 (progn (insert vhdl-clock-name) vhdl-clock-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9297 (vhdl-template-field "clock name") "<clock>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9298 (when (eq vhdl-reset-kind 'async)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9299 (insert ", ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9300 (setq reset (or (and (not (equal "" vhdl-reset-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9301 (progn (insert vhdl-reset-name) vhdl-reset-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9302 (vhdl-template-field "reset name") "<reset>")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9303 (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9304 (unless (vhdl-standard-p '87) (vhdl-insert-keyword " IS"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9305 (insert "\n")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9306 (vhdl-template-begin-end "PROCESS" label margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9307 (when seq (setq reset (vhdl-template-seq-process clock reset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9308 (when vhdl-prompt-for-comments
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9309 (setq final-pos (point-marker))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9310 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9311 (when (and (vhdl-re-search-backward "\\<begin\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9312 (vhdl-re-search-backward "\\<process\\>" nil t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9313 (end-of-line -0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9314 (if (bobp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9315 (progn (insert "\n") (forward-line -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9316 (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9317 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9318 (insert "-- purpose: ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9319 (if (not (vhdl-template-field "[description]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9320 (vhdl-line-kill-entire)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9321 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9322 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9323 (insert "-- type : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9324 (insert (if seq "sequential" "combinational") "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9325 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9326 (insert "-- inputs : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9327 (if (not seq)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9328 (insert input-signals)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9329 (insert clock ", ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9330 (when reset (insert reset ", "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9331 (unless (vhdl-template-field "[signal names]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9332 (delete-char -2)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9333 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9334 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9335 (insert "-- outputs: ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9336 (vhdl-template-field "[signal names]" nil t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9337 (goto-char final-pos))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9338
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9339 (defun vhdl-template-process-comb ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9340 "Insert a combinational process."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9341 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9342 (vhdl-template-process 'comb))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9343
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9344 (defun vhdl-template-process-seq ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9345 "Insert a sequential process."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9346 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9347 (vhdl-template-process 'seq))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9348
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9349 (defun vhdl-template-quantity ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9350 "Insert a quantity declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9351 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9352 (if (vhdl-in-argument-list-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9353 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9354 (vhdl-insert-keyword "QUANTITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9355 (when (vhdl-template-field "names" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9356 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9357 (vhdl-template-field "[IN | OUT]" " " t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9358 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9359 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9360 (vhdl-comment-insert-inline)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9361 (let ((char (vhdl-decision-query
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9362 "quantity" "(f)ree, (b)ranch, or (s)ource quantity?" t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9363 (cond ((eq char ?f) (vhdl-template-quantity-free))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9364 ((eq char ?b) (vhdl-template-quantity-branch))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9365 ((eq char ?s) (vhdl-template-quantity-source))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9366 (t (vhdl-template-undo (point) (point)))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9367
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9368 (defun vhdl-template-quantity-free ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9369 "Insert a free quantity declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9370 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9371 (vhdl-insert-keyword "QUANTITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9372 (vhdl-template-field "names")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9373 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9374 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9375 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9376 (insert " := ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9377 (unless (vhdl-template-field "[initialization]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9378 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9379 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9380 (vhdl-comment-insert-inline)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9381
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9382 (defun vhdl-template-quantity-branch ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9383 "Insert a branch quantity declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9384 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9385 (let (position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9386 (vhdl-insert-keyword "QUANTITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9387 (when (vhdl-template-field "[across names]" " " t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9388 (vhdl-insert-keyword "ACROSS "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9389 (when (vhdl-template-field "[through names]" " " t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9390 (vhdl-insert-keyword "THROUGH "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9391 (vhdl-template-field "plus terminal name")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9392 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9393 (vhdl-insert-keyword " TO ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9394 (unless (vhdl-template-field "[minus terminal name]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9395 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9396 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9397 (vhdl-comment-insert-inline)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9398
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9399 (defun vhdl-template-quantity-source ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9400 "Insert a source quantity declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9401 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9402 (vhdl-insert-keyword "QUANTITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9403 (vhdl-template-field "names")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9404 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9405 (vhdl-template-field "type" " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9406 (if (eq (vhdl-decision-query nil "(s)pectrum or (n)oise?") ?n)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9407 (progn (vhdl-insert-keyword "NOISE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9408 (vhdl-template-field "power expression"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9409 (vhdl-insert-keyword "SPECTRUM ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9410 (vhdl-template-field "magnitude expression" ", ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9411 (vhdl-template-field "phase expression"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9412 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9413 (vhdl-comment-insert-inline))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9414
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9415 (defun vhdl-template-record (kind &optional name secondary)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9416 "Insert a record type declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9417 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9418 (let ((margin (current-column))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9419 (start (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9420 (first t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9421 (vhdl-insert-keyword "RECORD\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9422 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9423 (when (or (vhdl-template-field "element names"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9424 nil (not secondary) start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9425 secondary)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9426 (while (or first (vhdl-template-field "[element names]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9427 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9428 (vhdl-template-field (if (eq kind 'type) "type" "nature") ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9429 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9430 (insert "\n")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9431 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9432 (setq first nil))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9433 (kill-line -0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9434 (indent-to margin)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9435 (vhdl-insert-keyword "END RECORD")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9436 (unless (vhdl-standard-p '87) (and name (insert " " name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9437 (insert ";")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9438 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9439
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9440 (defun vhdl-template-report ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9441 "Insert a report statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9442 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9443 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9444 (vhdl-insert-keyword "REPORT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9445 (if (equal "\"\"" (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9446 "string expression" nil t start (point) t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9447 (delete-backward-char 2)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9448 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9449 (vhdl-insert-keyword " SEVERITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9450 (unless (vhdl-template-field "[NOTE | WARNING | ERROR | FAILURE]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9451 (delete-region start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9452 (insert ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9453
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9454 (defun vhdl-template-return ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9455 "Insert a return statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9456 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9457 (let ((start (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9458 (vhdl-insert-keyword "RETURN ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9459 (unless (vhdl-template-field "[expression]" nil t start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9460 (delete-char -1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9461 (insert ";")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9462
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9463 (defun vhdl-template-selected-signal-asst ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9464 "Insert a selected signal assignment."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9465 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9466 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9467 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9468 (choices t))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9469 (let ((position (point)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9470 (vhdl-insert-keyword " SELECT ")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9471 (goto-char position))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9472 (vhdl-insert-keyword "WITH ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9473 (when (vhdl-template-field "selector expression"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9474 nil t start (+ (point) 7))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9475 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9476 (delete-char 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9477 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9478 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9479 (vhdl-template-field "target signal" " <= ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9480 ; (vhdl-template-field "[GUARDED] [TRANSPORT]")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9481 (insert "\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9482 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9483 (vhdl-template-field "waveform")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9484 (vhdl-insert-keyword " WHEN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9485 (vhdl-template-field "choices" ",")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9486 (insert "\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9487 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9488 (while (and choices (vhdl-template-field "[waveform]" nil t))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9489 (vhdl-insert-keyword " WHEN ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9490 (if (setq choices (vhdl-template-field "[choices]" "," t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9491 (progn (insert "\n") (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9492 (vhdl-insert-keyword "OTHERS")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9493 (when choices
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9494 (fixup-whitespace)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9495 (delete-char -2))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9496 (insert ";")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9497 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9498
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9499 (defun vhdl-template-signal ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9500 "Insert a signal declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9501 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9502 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9503 (in-arglist (vhdl-in-argument-list-p)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9504 (vhdl-insert-keyword "SIGNAL ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9505 (when (vhdl-template-field "names" nil t start (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9506 (insert " : ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9507 (when in-arglist (vhdl-template-field "[IN | OUT | INOUT]" " " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9508 (vhdl-template-field "type")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9509 (if in-arglist
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9510 (progn (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9511 (vhdl-comment-insert-inline))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9512 (let ((position (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9513 (insert " := ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9514 (unless (vhdl-template-field "[initialization]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9515 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9516 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9517 (vhdl-comment-insert-inline))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9518
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9519 (defun vhdl-template-subnature ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9520 "Insert a subnature declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9521 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9522 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9523 position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9524 (vhdl-insert-keyword "SUBNATURE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9525 (when (vhdl-template-field "name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9526 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9527 (vhdl-template-field "nature" " (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9528 (if (vhdl-template-field "[index range]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9529 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9530 (delete-char -2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9531 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9532 (vhdl-insert-keyword " TOLERANCE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9533 (if (equal "\"\"" (vhdl-template-field "[string expression]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9534 nil t nil nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9535 (delete-region position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9536 (vhdl-insert-keyword " ACROSS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9537 (vhdl-template-field "string expression" nil nil nil nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9538 (vhdl-insert-keyword " THROUGH"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9539 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9540 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9541
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9542 (defun vhdl-template-subprogram-body ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9543 "Insert a subprogram body."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9544 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9545 (if (eq (vhdl-decision-query nil "(p)rocedure or (f)unction?" t) ?f)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9546 (vhdl-template-function-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9547 (vhdl-template-procedure-body)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9548
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9549 (defun vhdl-template-subprogram-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9550 "Insert a subprogram declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9551 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9552 (if (eq (vhdl-decision-query nil "(p)rocedure or (f)unction?" t) ?f)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9553 (vhdl-template-function-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9554 (vhdl-template-procedure-decl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9555
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9556 (defun vhdl-template-subtype ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9557 "Insert a subtype declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9558 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9559 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9560 (vhdl-insert-keyword "SUBTYPE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9561 (when (vhdl-template-field "name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9562 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9563 (vhdl-template-field "type" " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9564 (unless
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9565 (vhdl-template-field "[RANGE value range | ( index range )]" nil t)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9566 (delete-char -1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9567 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9568 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9569
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9570 (defun vhdl-template-terminal ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9571 "Insert a terminal declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9572 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9573 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9574 (vhdl-insert-keyword "TERMINAL ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9575 (when (vhdl-template-field "names" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9576 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9577 (vhdl-template-field "nature")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9578 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9579 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9580
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9581 (defun vhdl-template-type ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9582 "Insert a type declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9583 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9584 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9585 name mid-pos end-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9586 (vhdl-insert-keyword "TYPE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9587 (when (setq name (vhdl-template-field "name" nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9588 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9589 (let ((definition
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9590 (upcase
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9591 (or (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9592 "[scalar type | ARRAY | RECORD | ACCESS | FILE]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9593 ""))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9594 (cond ((equal definition "")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9595 (delete-backward-char 4)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9596 (insert ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9597 ((equal definition "ARRAY")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9598 (kill-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9599 (vhdl-template-array 'type t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9600 ((equal definition "RECORD")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9601 (setq mid-pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9602 (kill-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9603 (vhdl-template-record 'type name t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9604 ((equal definition "ACCESS")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9605 (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9606 (vhdl-template-field "type" ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9607 ((equal definition "FILE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9608 (vhdl-insert-keyword " OF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9609 (vhdl-template-field "type" ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9610 (t (insert ";")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9611 (when mid-pos
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9612 (setq end-pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9613 (goto-char mid-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9614 (end-of-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9615 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9616 (when end-pos (goto-char end-pos))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9617
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9618 (defun vhdl-template-use ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9619 "Insert a use clause."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9620 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9621 (let ((start (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9622 (vhdl-prepare-search-1
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9623 (vhdl-insert-keyword "USE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9624 (when (save-excursion (beginning-of-line) (looking-at "^\\s-*use\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9625 (vhdl-insert-keyword "..ALL;")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9626 (backward-char 6)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9627 (when (vhdl-template-field "library name" nil t start (+ (point) 6))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9628 (forward-char 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9629 (vhdl-template-field "package name")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9630 (forward-char 5))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9631
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9632 (defun vhdl-template-variable ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9633 "Insert a variable declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9634 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9635 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9636 (in-arglist (vhdl-in-argument-list-p)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9637 (vhdl-prepare-search-2
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9638 (if (or (save-excursion
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9639 (and (vhdl-re-search-backward
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9640 "\\<function\\|procedure\\|process\\|procedural\\|end\\>"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9641 nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9642 (not (progn (backward-word 1) (looking-at "\\<end\\>")))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9643 (save-excursion (backward-word 1) (looking-at "\\<shared\\>")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9644 (vhdl-insert-keyword "VARIABLE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9645 (vhdl-insert-keyword "SHARED VARIABLE ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9646 (when (vhdl-template-field "names" nil t start (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9647 (insert " : ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9648 (when in-arglist (vhdl-template-field "[IN | OUT | INOUT]" " " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9649 (vhdl-template-field "type")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9650 (if in-arglist
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9651 (progn (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9652 (vhdl-comment-insert-inline))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9653 (let ((position (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9654 (insert " := ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9655 (unless (vhdl-template-field "[initialization]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9656 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9657 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9658 (vhdl-comment-insert-inline))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9659
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9660 (defun vhdl-template-wait ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9661 "Insert a wait statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9662 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9663 (vhdl-insert-keyword "WAIT ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9664 (unless (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9665 "[ON sensitivity list] [UNTIL condition] [FOR time expression]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9666 nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9667 (delete-char -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9668 (insert ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9669
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9670 (defun vhdl-template-when ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9671 "Indent correctly if within a case statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9672 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9673 (let ((position (point))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9674 margin)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9675 (vhdl-prepare-search-2
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9676 (if (and (= (current-column) (current-indentation))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9677 (vhdl-re-search-forward "\\<end\\>" nil t)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9678 (looking-at "\\s-*\\<case\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9679 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9680 (setq margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9681 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9682 (delete-horizontal-space)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9683 (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9684 (goto-char position)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9685 (vhdl-insert-keyword "WHEN ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9686
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9687 (defun vhdl-template-while-loop ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9688 "Insert a while loop."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9689 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9690 (let* ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9691 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9692 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9693 (if (not (eq vhdl-optional-labels 'all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9694 (vhdl-insert-keyword "WHILE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9695 (vhdl-insert-keyword ": WHILE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9696 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9697 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9698 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9699 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9700 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9701 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9702 (when (vhdl-template-field "condition" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9703 (when vhdl-conditions-in-parenthesis (insert ")"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9704 (vhdl-insert-keyword " LOOP\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9705 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9706 (vhdl-insert-keyword "END LOOP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9707 (insert (if label (concat " " label ";") ";"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9708 (forward-line -1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9709 (indent-to (+ margin vhdl-basic-offset)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9710
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9711 (defun vhdl-template-with ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9712 "Insert a with statement (i.e. selected signal assignment)."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9713 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9714 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9715 (if (and (save-excursion (vhdl-re-search-backward "\\(\\<limit\\>\\|;\\)"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9716 (equal ";" (match-string 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9717 (vhdl-template-selected-signal-asst)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9718 (vhdl-insert-keyword "WITH "))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9719
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9720 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9721 ;; Special templates
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9722
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9723 (defun vhdl-template-clocked-wait ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9724 "Insert a wait statement for rising/falling clock edge."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9725 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9726 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9727 clock)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9728 (vhdl-insert-keyword "WAIT UNTIL ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9729 (when (setq clock
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9730 (or (and (not (equal "" vhdl-clock-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9731 (progn (insert vhdl-clock-name) vhdl-clock-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9732 (vhdl-template-field "clock name" nil t start (point))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9733 (insert "'event")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9734 (vhdl-insert-keyword " AND ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9735 (insert clock)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9736 (insert
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9737 " = " (if vhdl-clock-rising-edge vhdl-one-string vhdl-zero-string) ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9738 (vhdl-comment-insert-inline
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9739 (concat (if vhdl-clock-rising-edge "rising" "falling")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9740 " clock edge")))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9741
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9742 (defun vhdl-template-seq-process (clock reset)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9743 "Insert a template for the body of a sequential process."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9744 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9745 position)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9746 (vhdl-insert-keyword "IF ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9747 (when (eq vhdl-reset-kind 'async)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9748 (insert reset " = "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9749 (if vhdl-reset-active-high vhdl-one-string vhdl-zero-string))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9750 (vhdl-insert-keyword " THEN")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9751 (vhdl-comment-insert-inline
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9752 (concat "asynchronous reset (active "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9753 (if vhdl-reset-active-high "high" "low") ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9754 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9755 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9756 (insert "\n") (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9757 (vhdl-insert-keyword "ELSIF "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9758 (if (eq vhdl-clock-edge-condition 'function)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9759 (insert (if vhdl-clock-rising-edge "rising" "falling")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9760 "_edge(" clock ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9761 (insert clock "'event")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9762 (vhdl-insert-keyword " AND ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9763 (insert clock " = "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9764 (if vhdl-clock-rising-edge vhdl-one-string vhdl-zero-string)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9765 (vhdl-insert-keyword " THEN")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9766 (vhdl-comment-insert-inline
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9767 (concat (if vhdl-clock-rising-edge "rising" "falling") " clock edge"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9768 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9769 (when (eq vhdl-reset-kind 'sync)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9770 (vhdl-insert-keyword "IF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9771 (setq reset (or (and (not (equal "" vhdl-reset-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9772 (progn (insert vhdl-reset-name) vhdl-reset-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9773 (vhdl-template-field "reset name") "<reset>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9774 (insert " = "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9775 (if vhdl-reset-active-high vhdl-one-string vhdl-zero-string))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9776 (vhdl-insert-keyword " THEN")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9777 (vhdl-comment-insert-inline
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9778 (concat "synchronous reset (active "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9779 (if vhdl-reset-active-high "high" "low") ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9780 (insert "\n") (indent-to (+ margin (* 2 vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9781 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9782 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9783 (vhdl-insert-keyword "ELSE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9784 (insert "\n") (indent-to (+ margin (* 2 vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9785 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9786 (vhdl-insert-keyword "END IF;"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9787 (when (eq vhdl-reset-kind 'none)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9788 (setq position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9789 (insert "\n") (indent-to margin)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9790 (vhdl-insert-keyword "END IF;")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9791 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9792 reset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9793
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9794 (defun vhdl-template-standard-package (library package)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9795 "Insert specification of a standard package. Include a library
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9796 specification, if not already there."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9797 (let ((margin (current-indentation)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9798 (unless (equal library "std")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9799 (unless (or (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9800 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9801 (and (not (bobp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9802 (re-search-backward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9803 (concat "^\\s-*\\(\\(library\\)\\s-+\\(\\w+\\s-*,\\s-*\\)*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9804 library "\\|end\\)\\>") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9805 (match-string 2))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9806 (equal (downcase library) "work"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9807 (vhdl-insert-keyword "LIBRARY ")
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
9808 (insert library ";")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
9809 (when package
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
9810 (insert "\n")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
9811 (indent-to margin)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
9812 (when package
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
9813 (vhdl-insert-keyword "USE ")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
9814 (insert library "." package)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
9815 (vhdl-insert-keyword ".ALL;")))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9816
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9817 (defun vhdl-template-package-math-complex ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9818 "Insert specification of `math_complex' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9819 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9820 (vhdl-template-standard-package "ieee" "math_complex"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9821
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9822 (defun vhdl-template-package-math-real ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9823 "Insert specification of `math_real' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9824 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9825 (vhdl-template-standard-package "ieee" "math_real"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9826
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9827 (defun vhdl-template-package-numeric-bit ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9828 "Insert specification of `numeric_bit' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9829 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9830 (vhdl-template-standard-package "ieee" "numeric_bit"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9831
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9832 (defun vhdl-template-package-numeric-std ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9833 "Insert specification of `numeric_std' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9834 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9835 (vhdl-template-standard-package "ieee" "numeric_std"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9836
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9837 (defun vhdl-template-package-std-logic-1164 ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9838 "Insert specification of `std_logic_1164' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9839 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9840 (vhdl-template-standard-package "ieee" "std_logic_1164"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9841
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9842 (defun vhdl-template-package-std-logic-arith ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9843 "Insert specification of `std_logic_arith' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9844 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9845 (vhdl-template-standard-package "ieee" "std_logic_arith"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9846
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9847 (defun vhdl-template-package-std-logic-misc ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9848 "Insert specification of `std_logic_misc' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9849 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9850 (vhdl-template-standard-package "ieee" "std_logic_misc"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9851
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9852 (defun vhdl-template-package-std-logic-signed ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9853 "Insert specification of `std_logic_signed' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9854 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9855 (vhdl-template-standard-package "ieee" "std_logic_signed"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9856
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9857 (defun vhdl-template-package-std-logic-textio ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9858 "Insert specification of `std_logic_textio' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9859 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9860 (vhdl-template-standard-package "ieee" "std_logic_textio"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9861
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9862 (defun vhdl-template-package-std-logic-unsigned ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9863 "Insert specification of `std_logic_unsigned' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9864 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9865 (vhdl-template-standard-package "ieee" "std_logic_unsigned"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9866
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9867 (defun vhdl-template-package-textio ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9868 "Insert specification of `textio' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9869 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9870 (vhdl-template-standard-package "std" "textio"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9871
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9872 (defun vhdl-template-directive (directive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9873 "Insert directive."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9874 (unless (= (current-indentation) (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9875 (delete-horizontal-space)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9876 (insert " "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9877 (insert "-- pragma " directive))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9878
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9879 (defun vhdl-template-directive-translate-on ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9880 "Insert directive 'translate_on'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9881 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9882 (vhdl-template-directive "translate_on"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9883
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9884 (defun vhdl-template-directive-translate-off ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9885 "Insert directive 'translate_off'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9886 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9887 (vhdl-template-directive "translate_off"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9888
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9889 (defun vhdl-template-directive-synthesis-on ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9890 "Insert directive 'synthesis_on'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9891 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9892 (vhdl-template-directive "synthesis_on"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9893
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9894 (defun vhdl-template-directive-synthesis-off ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9895 "Insert directive 'synthesis_off'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9896 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9897 (vhdl-template-directive "synthesis_off"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9898
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9899 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9900 ;; Header and footer templates
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9901
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9902 (defun vhdl-template-header (&optional file-title)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9903 "Insert a VHDL file header."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9904 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9905 (unless (equal vhdl-file-header "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9906 (let (pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9907 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9908 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9909 (vhdl-insert-string-or-file vhdl-file-header)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9910 (setq pos (point-marker)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9911 (vhdl-template-replace-header-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9912 (point-min-marker) pos file-title))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9913
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9914 (defun vhdl-template-footer ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9915 "Insert a VHDL file footer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9916 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9917 (unless (equal vhdl-file-footer "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9918 (let (pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9919 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9920 (goto-char (point-max))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9921 (setq pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9922 (vhdl-insert-string-or-file vhdl-file-footer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9923 (unless (= (preceding-char) ?\n)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9924 (insert "\n")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9925 (vhdl-template-replace-header-keywords pos (point-max-marker)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9926
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9927 (defun vhdl-template-replace-header-keywords (beg end &optional file-title
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9928 is-model)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9929 "Replace keywords in header and footer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9930 (let ((project-title (or (nth 0 (aget vhdl-project-alist vhdl-project)) ""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9931 (project-desc (or (nth 9 (aget vhdl-project-alist vhdl-project)) ""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9932 pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9933 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9934 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9935 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9936 (while (search-forward "<projectdesc>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9937 (replace-match project-desc t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9938 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9939 (while (search-forward "<filename>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9940 (replace-match (buffer-name) t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9941 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9942 (while (search-forward "<copyright>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9943 (replace-match vhdl-copyright-string t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9944 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9945 (while (search-forward "<author>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9946 (replace-match "" t t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9947 (insert (user-full-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9948 (when user-mail-address (insert " <" user-mail-address ">")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9949 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9950 (while (search-forward "<login>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9951 (replace-match (user-login-name) t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9952 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9953 (while (search-forward "<project>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9954 (replace-match project-title t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9955 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9956 (while (search-forward "<company>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9957 (replace-match vhdl-company-name t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9958 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9959 (while (search-forward "<platform>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9960 (replace-match vhdl-platform-spec t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9961 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9962 (while (search-forward "<standard>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9963 (replace-match
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9964 (concat "VHDL" (cond ((vhdl-standard-p '87) "'87")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9965 ((vhdl-standard-p '93) "'93"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9966 (when (vhdl-standard-p 'ams) ", VHDL-AMS")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9967 (when (vhdl-standard-p 'math) ", Math Packages")) t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9968 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9969 ;; Replace <RCS> with $, so that RCS for the source is
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9970 ;; not over-enthusiastic with replacements
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9971 (while (search-forward "<RCS>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9972 (replace-match "$" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9973 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9974 (while (search-forward "<date>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9975 (replace-match "" t t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9976 (vhdl-template-insert-date))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9977 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9978 (while (search-forward "<year>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9979 (replace-match (format-time-string "%Y" nil) t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9980 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9981 (when file-title
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9982 (while (search-forward "<title string>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9983 (replace-match file-title t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9984 (goto-char beg))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9985 (let (string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9986 (while
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9987 (re-search-forward "<\\(\\(\\w\\|\\s_\\)*\\) string>" end t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9988 (setq string (read-string (concat (match-string 1) ": ")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9989 (replace-match string t t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9990 (goto-char beg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9991 (when (and (not is-model) (search-forward "<cursor>" end t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9992 (replace-match "" t t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9993 (setq pos (point))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9994 (when pos (goto-char pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9995 (unless is-model
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9996 (when (or (not project-title) (equal project-title ""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9997 (message "You can specify a project title in user option `vhdl-project-alist'"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9998 (when (or (not project-desc) (equal project-desc ""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
9999 (message "You can specify a project description in user option `vhdl-project-alist'"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10000 (when (equal vhdl-platform-spec "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10001 (message "You can specify a platform in user option `vhdl-platform-spec'"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10002 (when (equal vhdl-company-name "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10003 (message "You can specify a company name in user option `vhdl-company-name'"))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10004
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10005 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10006 ;; Comment templates and functions
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10007
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10008 (defun vhdl-comment-indent ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10009 "Indent comments."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10010 (let* ((position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10011 (col
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10012 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10013 (forward-line -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10014 (if (re-search-forward "--" position t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10015 (- (current-column) 2) ; existing comment at bol stays there
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10016 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10017 (skip-chars-backward " \t")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10018 (max comment-column ; else indent to comment column
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10019 (1+ (current-column))))))) ; except leave at least one space
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10020 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10021 col))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10022
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10023 (defun vhdl-comment-insert ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10024 "Start a comment at the end of the line.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10025 If on line with code, indent at least `comment-column'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10026 If starting after end-comment-column, start a new line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10027 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10028 (when (> (current-column) end-comment-column) (newline-and-indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10029 (if (or (looking-at "\\s-*$") ; end of line
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10030 (and (not unread-command-events) ; called with key binding or menu
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10031 (not (end-of-line))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10032 (let (margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10033 (while (= (preceding-char) ?-) (delete-char -1))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10034 (setq margin (current-column))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10035 (delete-horizontal-space)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10036 (if (bolp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10037 (progn (indent-to margin) (insert "--"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10038 (insert " ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10039 (indent-to comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10040 (insert "--"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10041 (if (not unread-command-events) (insert " ")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10042 ;; else code following current point implies commenting out code
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10043 (let (next-input code)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10044 (while (= (preceding-char) ?-) (delete-char -2))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10045 (while (= (setq next-input (read-char)) 13) ; CR
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10046 (insert "--") ; or have a space after it?
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10047 (forward-char -2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10048 (forward-line 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10049 (message "Enter CR if commenting out a line of code.")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10050 (setq code t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10051 (unless code
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10052 (insert "--")) ; hardwire to 1 space or use vhdl-basic-offset?
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10053 (setq unread-command-events
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10054 (list (vhdl-character-to-event next-input)))))) ; pushback the char
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10055
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10056 (defun vhdl-comment-display (&optional line-exists)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10057 "Add 2 comment lines at the current indent, making a display comment."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10058 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10059 (let ((margin (current-indentation)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10060 (unless line-exists (vhdl-comment-display-line))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10061 (insert "\n") (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10062 (insert "\n") (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10063 (vhdl-comment-display-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10064 (end-of-line -0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10065 (insert "-- ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10066
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10067 (defun vhdl-comment-display-line ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10068 "Displays one line of dashes."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10069 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10070 (while (= (preceding-char) ?-) (delete-char -2))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10071 (let* ((col (current-column))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10072 (len (- end-comment-column col)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10073 (insert-char ?- len)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10074
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10075 (defun vhdl-comment-append-inline ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10076 "Append empty inline comment to current line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10077 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10078 (end-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10079 (delete-horizontal-space)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10080 (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10081 (indent-to comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10082 (insert "-- "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10083
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10084 (defun vhdl-comment-insert-inline (&optional string always-insert)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10085 "Insert inline comment."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10086 (when (or (and string (or vhdl-self-insert-comments always-insert))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10087 (and (not string) vhdl-prompt-for-comments))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10088 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10089 (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10090 (indent-to comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10091 (insert "-- ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10092 (if (not (or (and string (progn (insert string) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10093 (vhdl-template-field "[comment]" nil t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10094 (delete-region position (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10095 (while (= (preceding-char) ? ) (delete-backward-char 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10096 ; (when (> (current-column) end-comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10097 ; (setq position (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10098 ; (re-search-backward "-- ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10099 ; (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10100 ; (indent-to comment-column)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10101 ; (goto-char position))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10102 ))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10103
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10104 (defun vhdl-comment-block ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10105 "Insert comment for code block."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10106 (when vhdl-prompt-for-comments
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10107 (let ((final-pos (point-marker)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10108 (vhdl-prepare-search-2
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10109 (when (and (re-search-backward "^\\s-*begin\\>" nil t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10110 (re-search-backward "\\<\\(architecture\\|block\\|function\\|procedure\\|process\\|procedural\\)\\>" nil t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10111 (let (margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10112 (back-to-indentation)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10113 (setq margin (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10114 (end-of-line -0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10115 (if (bobp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10116 (progn (insert "\n") (forward-line -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10117 (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10118 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10119 (insert "-- purpose: ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10120 (unless (vhdl-template-field "[description]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10121 (vhdl-line-kill-entire)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10122 (goto-char final-pos))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10123
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10124 (defun vhdl-comment-uncomment-region (beg end &optional arg)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10125 "Comment out region if not commented out, uncomment otherwise."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10126 (interactive "r\nP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10127 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10128 (goto-char (1- end))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10129 (end-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10130 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10131 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10132 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10133 (setq beg (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10134 (if (looking-at comment-start)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10135 (comment-region beg end '(4))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10136 (comment-region beg end))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10137
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10138 (defun vhdl-comment-uncomment-line (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10139 "Comment out line if not commented out, uncomment otherwise."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10140 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10141 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10142 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10143 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10144 (forward-line (or arg 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10145 (vhdl-comment-uncomment-region position (point)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10146
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10147 (defun vhdl-comment-kill-region (beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10148 "Kill comments in region."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10149 (interactive "r")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10150 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10151 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10152 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10153 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10154 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10155 (while (< (point) end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10156 (if (looking-at "^\\(\\s-*--.*\n\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10157 (progn (delete-region (match-beginning 1) (match-end 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10158 (beginning-of-line 2)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10159
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10160 (defun vhdl-comment-kill-inline-region (beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10161 "Kill inline comments in region."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10162 (interactive "r")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10163 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10164 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10165 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10166 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10167 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10168 (while (< (point) end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10169 (when (looking-at "^.*[^ \t\n-]+\\(\\s-*--.*\\)$")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10170 (delete-region (match-beginning 1) (match-end 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10171 (beginning-of-line 2))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10172
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10173 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10174 ;; Subtemplates
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10175
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10176 (defun vhdl-template-begin-end (construct name margin &optional empty-lines)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10177 "Insert a begin ... end pair with optional name after the end.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10178 Point is left between them."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10179 (let (position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10180 (when (or empty-lines (eq vhdl-insert-empty-lines 'all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10181 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10182 (vhdl-insert-keyword "BEGIN")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10183 (when (and (or construct name) vhdl-self-insert-comments)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10184 (insert " --")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10185 (when construct (insert " ") (vhdl-insert-keyword construct))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10186 (when name (insert " " name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10187 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10188 (when (or empty-lines (eq vhdl-insert-empty-lines 'all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10189 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10190 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10191 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10192 (when (or empty-lines (eq vhdl-insert-empty-lines 'all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10193 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10194 (vhdl-insert-keyword "END")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10195 (when construct (insert " ") (vhdl-insert-keyword construct))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10196 (insert (if name (concat " " name) "") ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10197 (goto-char position)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10198
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10199 (defun vhdl-template-argument-list (&optional is-function)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10200 "Read from user a procedure or function argument list."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10201 (insert " (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10202 (let ((margin (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10203 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10204 (end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10205 not-empty interface semicolon-pos)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10206 (unless vhdl-argument-list-indent
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10207 (setq margin (+ (current-indentation) vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10208 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10209 (indent-to margin))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10210 (setq interface (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10211 (concat "[CONSTANT | SIGNAL"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10212 (unless is-function " | VARIABLE") "]") " " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10213 (while (vhdl-template-field "[names]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10214 (setq not-empty t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10215 (insert " : ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10216 (unless is-function
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10217 (if (and interface (equal (upcase interface) "CONSTANT"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10218 (vhdl-insert-keyword "IN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10219 (vhdl-template-field "[IN | OUT | INOUT]" " " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10220 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10221 (setq semicolon-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10222 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10223 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10224 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10225 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10226 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10227 (setq interface (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10228 (concat "[CONSTANT | SIGNAL"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10229 (unless is-function " | VARIABLE") "]") " " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10230 (delete-region end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10231 (when semicolon-pos (goto-char semicolon-pos))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10232 (if not-empty
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10233 (progn (delete-char 1) (insert ")"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10234 (delete-backward-char 2))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10235
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10236 (defun vhdl-template-generic-list (optional &optional no-value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10237 "Read from user a generic spec argument list."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10238 (let (margin
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10239 (start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10240 (vhdl-insert-keyword "GENERIC (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10241 (setq margin (current-column))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10242 (unless vhdl-argument-list-indent
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10243 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10244 (back-to-indentation)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10245 (setq margin (+ (current-column) vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10246 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10247 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10248 (indent-to margin)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10249 (let ((vhdl-generics (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10250 (concat (and optional "[") "name"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10251 (and no-value "s") (and optional "]"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10252 nil optional)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10253 (if (not vhdl-generics)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10254 (if optional
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10255 (progn (vhdl-line-kill-entire) (end-of-line -0)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10256 (unless vhdl-argument-list-indent
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10257 (vhdl-line-kill-entire) (end-of-line -0)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10258 (vhdl-template-undo start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10259 nil )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10260 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10261 (let (semicolon-pos end-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10262 (while vhdl-generics
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10263 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10264 (if no-value
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10265 (progn (setq semicolon-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10266 (insert ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10267 (insert " := ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10268 (unless (vhdl-template-field "[value]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10269 (delete-char -4))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10270 (setq semicolon-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10271 (insert ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10272 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10273 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10274 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10275 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10276 (setq vhdl-generics (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10277 (concat "[name" (and no-value "s") "]")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10278 " : " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10279 (delete-region end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10280 (goto-char semicolon-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10281 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10282 (end-of-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10283 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10284 t)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10285
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10286 (defun vhdl-template-port-list (optional)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10287 "Read from user a port spec argument list."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10288 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10289 margin vhdl-ports object)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10290 (vhdl-insert-keyword "PORT (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10291 (setq margin (current-column))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10292 (unless vhdl-argument-list-indent
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10293 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10294 (back-to-indentation)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10295 (setq margin (+ (current-column) vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10296 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10297 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10298 (indent-to margin)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10299 (when (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10300 (setq object (vhdl-template-field "[SIGNAL | TERMINAL | QUANTITY]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10301 " " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10302 (setq vhdl-ports (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10303 (concat (and optional "[") "names" (and optional "]"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10304 nil optional))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10305 (if (not vhdl-ports)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10306 (if optional
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10307 (progn (vhdl-line-kill-entire) (end-of-line -0)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10308 (unless vhdl-argument-list-indent
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10309 (vhdl-line-kill-entire) (end-of-line -0)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10310 (vhdl-template-undo start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10311 nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10312 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10313 (let (semicolon-pos end-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10314 (while vhdl-ports
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10315 (cond ((or (null object) (equal "SIGNAL" (upcase object)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10316 (vhdl-template-field "IN | OUT | INOUT" " "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10317 ((equal "QUANTITY" (upcase object))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10318 (vhdl-template-field "[IN | OUT]" " " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10319 (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10320 (if (and object (equal "TERMINAL" (upcase object)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10321 "nature" "type"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10322 (setq semicolon-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10323 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10324 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10325 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10326 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10327 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10328 (when (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10329 (setq object (vhdl-template-field "[SIGNAL | TERMINAL | QUANTITY]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10330 " " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10331 (setq vhdl-ports (vhdl-template-field "[names]" " : " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10332 (delete-region end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10333 (goto-char semicolon-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10334 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10335 (end-of-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10336 (when vhdl-auto-align (vhdl-align-region-groups start end-pos 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10337 t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10338
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10339 (defun vhdl-template-generate-body (margin label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10340 "Insert body for generate template."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10341 (vhdl-insert-keyword " GENERATE")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10342 ; (if (not (vhdl-standard-p '87))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10343 ; (vhdl-template-begin-end "GENERATE" label margin)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10344 (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10345 (indent-to margin)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10346 (vhdl-insert-keyword "END GENERATE ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10347 (insert label ";")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10348 (end-of-line 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10349 (indent-to (+ margin vhdl-basic-offset)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10350
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10351 (defun vhdl-template-insert-date ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10352 "Insert date in appropriate format."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10353 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10354 (insert
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10355 (cond
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10356 ;; 'american, 'european, 'scientific kept for backward compatibility
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10357 ((eq vhdl-date-format 'american) (format-time-string "%m/%d/%Y" nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10358 ((eq vhdl-date-format 'european) (format-time-string "%d.%m.%Y" nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10359 ((eq vhdl-date-format 'scientific) (format-time-string "%Y/%m/%d" nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10360 (t (format-time-string vhdl-date-format nil)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10361
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10362 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10363 ;; Help functions
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10364
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10365 (defun vhdl-electric-space (count)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10366 "Expand abbreviations and self-insert space(s), do indent-new-comment-line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10367 if in comment and past end-comment-column."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10368 (interactive "p")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10369 (cond ((vhdl-in-comment-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10370 (self-insert-command count)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10371 (cond ((>= (current-column) (+ 2 end-comment-column))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10372 (backward-char 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10373 (skip-chars-backward "^ \t\n")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10374 (indent-new-comment-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10375 (skip-chars-forward "^ \t\n")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10376 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10377 ((>= (current-column) end-comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10378 (indent-new-comment-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10379 (t nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10380 ((or (and (>= (preceding-char) ?a) (<= (preceding-char) ?z))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10381 (and (>= (preceding-char) ?A) (<= (preceding-char) ?Z)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10382 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10383 (or (expand-abbrev) (vhdl-fix-case-word -1)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10384 (self-insert-command count))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10385 (t (self-insert-command count))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10386
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10387 (defun vhdl-template-field (prompt &optional follow-string optional
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10388 begin end is-string default)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10389 "Prompt for string and insert it in buffer with optional FOLLOW-STRING.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10390 If OPTIONAL is nil, the prompt is left if an empty string is inserted. If
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10391 an empty string is inserted, return nil and call `vhdl-template-undo' for
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10392 the region between BEGIN and END. IS-STRING indicates whether a string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10393 with double-quotes is to be inserted. DEFAULT specifies a default string."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10394 (let ((position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10395 string)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10396 (insert "<" prompt ">")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10397 (setq string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10398 (condition-case ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10399 (read-from-minibuffer (concat prompt ": ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10400 (or (and is-string '("\"\"" . 2)) default)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10401 vhdl-minibuffer-local-map)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10402 (quit (if (and optional begin end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10403 (progn (beep) "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10404 (keyboard-quit)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10405 (when (or (not (equal string "")) optional)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10406 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10407 (when (and (equal string "") optional begin end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10408 (vhdl-template-undo begin end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10409 (message "Template aborted"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10410 (unless (equal string "")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10411 (insert string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10412 (vhdl-fix-case-region-1 position (point) vhdl-upper-case-keywords
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10413 vhdl-keywords-regexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10414 (vhdl-fix-case-region-1 position (point) vhdl-upper-case-types
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10415 vhdl-types-regexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10416 (vhdl-fix-case-region-1 position (point) vhdl-upper-case-attributes
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10417 (concat "'" vhdl-attributes-regexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10418 (vhdl-fix-case-region-1 position (point) vhdl-upper-case-enum-values
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10419 vhdl-enum-values-regexp))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10420 (when (or (not (equal string "")) (not optional))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10421 (insert (or follow-string "")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10422 (if (equal string "") nil string)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10423
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10424 (defun vhdl-decision-query (string prompt &optional optional)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10425 "Query a decision from the user."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10426 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10427 (when string (vhdl-insert-keyword (concat string " ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10428 (message prompt)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10429 (let ((char (read-char)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10430 (delete-region start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10431 (if (and optional (eq char ?\r))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10432 (progn (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10433 (unexpand-abbrev)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10434 (throw 'abort "ERROR: Template aborted"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10435 char))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10436
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10437 (defun vhdl-insert-keyword (keyword)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10438 "Insert KEYWORD and adjust case."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10439 (insert (if vhdl-upper-case-keywords (upcase keyword) (downcase keyword))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10440
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10441 (defun vhdl-case-keyword (keyword)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10442 "Adjust case of KEYWORD."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10443 (if vhdl-upper-case-keywords (upcase keyword) (downcase keyword)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10444
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10445 (defun vhdl-case-word (num)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10446 "Adjust case or following NUM words."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10447 (if vhdl-upper-case-keywords (upcase-word num) (downcase-word num)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10448
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10449 (defun vhdl-minibuffer-tab (&optional prefix-arg)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10450 "If preceeding character is part of a word or a paren then hippie-expand,
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10451 else insert tab (used for word completion in VHDL minibuffer)."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10452 (interactive "P")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10453 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10454 ;; expand word
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10455 ((= (char-syntax (preceding-char)) ?w)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10456 (let ((case-fold-search (not vhdl-word-completion-case-sensitive))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10457 (case-replace nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10458 (hippie-expand-only-buffers
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10459 (or (and (boundp 'hippie-expand-only-buffers)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10460 hippie-expand-only-buffers)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10461 '(vhdl-mode))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10462 (vhdl-expand-abbrev prefix-arg)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10463 ;; expand parenthesis
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10464 ((or (= (preceding-char) ?\() (= (preceding-char) ?\)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10465 (let ((case-fold-search (not vhdl-word-completion-case-sensitive))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10466 (case-replace nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10467 (vhdl-expand-paren prefix-arg)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10468 ;; insert tab
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10469 (t (insert-tab))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10470
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10471 (defun vhdl-template-search-prompt ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10472 "Search for left out template prompts and query again."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10473 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10474 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10475 (when (or (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10476 (concat "<\\(" vhdl-template-prompt-syntax "\\)>") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10477 (re-search-backward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10478 (concat "<\\(" vhdl-template-prompt-syntax "\\)>") nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10479 (let ((string (match-string 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10480 (replace-match "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10481 (vhdl-template-field string)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10482
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10483 (defun vhdl-template-undo (begin end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10484 "Undo aborted template by deleting region and unexpanding the keyword."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10485 (cond (vhdl-template-invoked-by-hook
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10486 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10487 (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10488 (delete-region begin end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10489 (unexpand-abbrev))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10490 (t (delete-region begin end))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10491
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10492 (defun vhdl-insert-string-or-file (string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10493 "Insert STRING or file contents if STRING is an existing file name."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10494 (unless (equal string "")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10495 (let ((file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10496 (progn (string-match "^\\([^\n]+\\)" string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10497 (vhdl-resolve-env-variable (match-string 1 string)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10498 (if (file-exists-p file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10499 (forward-char (cadr (insert-file-contents file-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10500 (insert string)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10501
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10502 (defun vhdl-beginning-of-block ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10503 "Move cursor to the beginning of the enclosing block."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10504 (let (pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10505 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10506 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10507 ;; search backward for block beginning or end
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10508 (while (or (while (and (setq pos (re-search-backward "^\\s-*\\(\\(end\\)\\|\\(\\(impure\\|pure\\)[ \t\n]+\\)?\\(function\\|procedure\\)\\|\\(for\\)\\|\\(architecture\\|component\\|configuration\\|entity\\|package\\|record\\|units\\)\\|\\(\\w+[ \t\n]*:[ \t\n]*\\)?\\(postponed[ \t\n]+\\)?\\(block\\|case\\|for\\|if\\|procedural\\|process\\|while\\)\\)\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10509 ;; not consider subprogram declarations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10510 (or (and (match-string 5)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10511 (save-match-data
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10512 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10513 (goto-char (match-end 5))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10514 (forward-word 1)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10515 (vhdl-forward-syntactic-ws)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10516 (when (looking-at "(")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10517 (forward-sexp))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10518 (re-search-forward "\\<is\\>\\|\\(;\\)" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10519 (match-string 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10520 ;; not consider configuration specifications
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10521 (and (match-string 6)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10522 (save-match-data
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10523 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10524 (vhdl-end-of-block)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10525 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10526 (not (looking-at "^\\s-*end\\s-+\\(for\\|generate\\|loop\\)\\>"))))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10527 (match-string 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10528 ;; skip subblock if block end found
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10529 (vhdl-beginning-of-block)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10530 (when pos (goto-char pos))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10531
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10532 (defun vhdl-end-of-block ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10533 "Move cursor to the end of the enclosing block."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10534 (let (pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10535 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10536 (end-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10537 ;; search forward for block beginning or end
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10538 (while (or (while (and (setq pos (re-search-forward "^\\s-*\\(\\(end\\)\\|\\(\\(impure\\|pure\\)[ \t\n]+\\)?\\(function\\|procedure\\)\\|\\(for\\)\\|\\(architecture\\|component\\|configuration\\|entity\\|package\\|record\\|units\\)\\|\\(\\w+[ \t\n]*:[ \t\n]*\\)?\\(postponed[ \t\n]+\\)?\\(block\\|case\\|for\\|if\\|procedural\\|process\\|while\\)\\)\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10539 ;; not consider subprogram declarations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10540 (or (and (match-string 5)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10541 (save-match-data
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10542 (save-excursion (re-search-forward "\\<is\\>\\|\\(;\\)" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10543 (match-string 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10544 ;; not consider configuration specifications
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10545 (and (match-string 6)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10546 (save-match-data
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10547 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10548 (vhdl-end-of-block)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10549 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10550 (not (looking-at "^\\s-*end\\s-+\\(for\\|generate\\|loop\\)\\>"))))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10551 (not (match-string 2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10552 ;; skip subblock if block beginning found
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10553 (vhdl-end-of-block)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10554 (when pos (goto-char pos))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10555
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10556 (defun vhdl-sequential-statement-p ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10557 "Check if point is within sequential statement part."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10558 (let ((start (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10559 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10560 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10561 ;; is sequential statement if ...
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10562 (and (re-search-backward "^\\s-*begin\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10563 ;; ... point is between "begin" and "end" of ...
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10564 (progn (vhdl-end-of-block)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10565 (< start (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10566 ;; ... a sequential block
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10567 (progn (vhdl-beginning-of-block)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10568 (looking-at "^\\s-*\\(\\(\\w+[ \t\n]+\\)?\\(function\\|procedure\\)\\|\\(\\w+[ \t\n]*:[ \t\n]*\\)?\\(\\w+[ \t\n]+\\)?\\(procedural\\|process\\)\\)\\>")))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10569
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10570 (defun vhdl-in-argument-list-p ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10571 "Check if within an argument list."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10572 (save-excursion
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10573 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10574 (or (string-match "arglist"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10575 (format "%s" (caar (vhdl-get-syntactic-context))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10576 (progn (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10577 (looking-at "^\\s-*\\(generic\\|port\\|\\(\\(impure\\|pure\\)\\s-+\\|\\)function\\|procedure\\)\\>\\s-*\\(\\w+\\s-*\\)?("))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10578
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10579 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10580 ;; Abbrev hooks
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10581
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10582 (defun vhdl-hooked-abbrev (func)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10583 "Do function, if syntax says abbrev is a keyword, invoked by hooked abbrev,
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10584 but not if inside a comment or quote)."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10585 (if (or (vhdl-in-literal)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10586 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10587 (forward-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10588 (and (looking-at "\\<end\\>") (not (looking-at "\\<end;")))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10589 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10590 (insert " ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10591 (unexpand-abbrev)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10592 (delete-char -1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10593 (if (not vhdl-electric-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10594 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10595 (insert " ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10596 (unexpand-abbrev)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10597 (backward-word 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10598 (vhdl-case-word 1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10599 (delete-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10600 (let ((invoke-char last-command-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10601 (abbrev-mode -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10602 (vhdl-template-invoked-by-hook t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10603 (let ((caught (catch 'abort
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10604 (funcall func))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10605 (when (stringp caught) (message caught)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10606 (when (= invoke-char ?-) (setq abbrev-start-location (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10607 ;; delete CR which is still in event queue
61431
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
10608 (if (fboundp 'enqueue-eval-event)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10609 (enqueue-eval-event 'delete-char -1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10610 (setq unread-command-events ; push back a delete char
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10611 (list (vhdl-character-to-event ?\177))))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10612
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10613 (defun vhdl-template-alias-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10614 (vhdl-hooked-abbrev 'vhdl-template-alias))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10615 (defun vhdl-template-architecture-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10616 (vhdl-hooked-abbrev 'vhdl-template-architecture))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10617 (defun vhdl-template-assert-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10618 (vhdl-hooked-abbrev 'vhdl-template-assert))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10619 (defun vhdl-template-attribute-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10620 (vhdl-hooked-abbrev 'vhdl-template-attribute))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10621 (defun vhdl-template-block-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10622 (vhdl-hooked-abbrev 'vhdl-template-block))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10623 (defun vhdl-template-break-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10624 (vhdl-hooked-abbrev 'vhdl-template-break))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10625 (defun vhdl-template-case-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10626 (vhdl-hooked-abbrev 'vhdl-template-case))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10627 (defun vhdl-template-component-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10628 (vhdl-hooked-abbrev 'vhdl-template-component))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10629 (defun vhdl-template-instance-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10630 (vhdl-hooked-abbrev 'vhdl-template-instance))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10631 (defun vhdl-template-conditional-signal-asst-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10632 (vhdl-hooked-abbrev 'vhdl-template-conditional-signal-asst))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10633 (defun vhdl-template-configuration-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10634 (vhdl-hooked-abbrev 'vhdl-template-configuration))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10635 (defun vhdl-template-constant-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10636 (vhdl-hooked-abbrev 'vhdl-template-constant))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10637 (defun vhdl-template-disconnect-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10638 (vhdl-hooked-abbrev 'vhdl-template-disconnect))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10639 (defun vhdl-template-display-comment-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10640 (vhdl-hooked-abbrev 'vhdl-comment-display))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10641 (defun vhdl-template-else-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10642 (vhdl-hooked-abbrev 'vhdl-template-else))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10643 (defun vhdl-template-elsif-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10644 (vhdl-hooked-abbrev 'vhdl-template-elsif))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10645 (defun vhdl-template-entity-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10646 (vhdl-hooked-abbrev 'vhdl-template-entity))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10647 (defun vhdl-template-exit-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10648 (vhdl-hooked-abbrev 'vhdl-template-exit))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10649 (defun vhdl-template-file-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10650 (vhdl-hooked-abbrev 'vhdl-template-file))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10651 (defun vhdl-template-for-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10652 (vhdl-hooked-abbrev 'vhdl-template-for))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10653 (defun vhdl-template-function-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10654 (vhdl-hooked-abbrev 'vhdl-template-function))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10655 (defun vhdl-template-generic-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10656 (vhdl-hooked-abbrev 'vhdl-template-generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10657 (defun vhdl-template-group-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10658 (vhdl-hooked-abbrev 'vhdl-template-group))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10659 (defun vhdl-template-library-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10660 (vhdl-hooked-abbrev 'vhdl-template-library))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10661 (defun vhdl-template-limit-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10662 (vhdl-hooked-abbrev 'vhdl-template-limit))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10663 (defun vhdl-template-if-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10664 (vhdl-hooked-abbrev 'vhdl-template-if))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10665 (defun vhdl-template-bare-loop-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10666 (vhdl-hooked-abbrev 'vhdl-template-bare-loop))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10667 (defun vhdl-template-map-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10668 (vhdl-hooked-abbrev 'vhdl-template-map))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10669 (defun vhdl-template-nature-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10670 (vhdl-hooked-abbrev 'vhdl-template-nature))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10671 (defun vhdl-template-next-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10672 (vhdl-hooked-abbrev 'vhdl-template-next))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10673 (defun vhdl-template-others-hook ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10674 (vhdl-hooked-abbrev 'vhdl-template-others))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10675 (defun vhdl-template-package-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10676 (vhdl-hooked-abbrev 'vhdl-template-package))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10677 (defun vhdl-template-port-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10678 (vhdl-hooked-abbrev 'vhdl-template-port))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10679 (defun vhdl-template-procedural-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10680 (vhdl-hooked-abbrev 'vhdl-template-procedural))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10681 (defun vhdl-template-procedure-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10682 (vhdl-hooked-abbrev 'vhdl-template-procedure))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10683 (defun vhdl-template-process-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10684 (vhdl-hooked-abbrev 'vhdl-template-process))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10685 (defun vhdl-template-quantity-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10686 (vhdl-hooked-abbrev 'vhdl-template-quantity))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10687 (defun vhdl-template-report-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10688 (vhdl-hooked-abbrev 'vhdl-template-report))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10689 (defun vhdl-template-return-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10690 (vhdl-hooked-abbrev 'vhdl-template-return))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10691 (defun vhdl-template-selected-signal-asst-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10692 (vhdl-hooked-abbrev 'vhdl-template-selected-signal-asst))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10693 (defun vhdl-template-signal-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10694 (vhdl-hooked-abbrev 'vhdl-template-signal))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10695 (defun vhdl-template-subnature-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10696 (vhdl-hooked-abbrev 'vhdl-template-subnature))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10697 (defun vhdl-template-subtype-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10698 (vhdl-hooked-abbrev 'vhdl-template-subtype))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10699 (defun vhdl-template-terminal-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10700 (vhdl-hooked-abbrev 'vhdl-template-terminal))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10701 (defun vhdl-template-type-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10702 (vhdl-hooked-abbrev 'vhdl-template-type))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10703 (defun vhdl-template-use-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10704 (vhdl-hooked-abbrev 'vhdl-template-use))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10705 (defun vhdl-template-variable-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10706 (vhdl-hooked-abbrev 'vhdl-template-variable))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10707 (defun vhdl-template-wait-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10708 (vhdl-hooked-abbrev 'vhdl-template-wait))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10709 (defun vhdl-template-when-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10710 (vhdl-hooked-abbrev 'vhdl-template-when))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10711 (defun vhdl-template-while-loop-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10712 (vhdl-hooked-abbrev 'vhdl-template-while-loop))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10713 (defun vhdl-template-with-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10714 (vhdl-hooked-abbrev 'vhdl-template-with))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10715 (defun vhdl-template-and-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10716 (vhdl-hooked-abbrev 'vhdl-template-and))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10717 (defun vhdl-template-or-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10718 (vhdl-hooked-abbrev 'vhdl-template-or))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10719 (defun vhdl-template-nand-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10720 (vhdl-hooked-abbrev 'vhdl-template-nand))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10721 (defun vhdl-template-nor-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10722 (vhdl-hooked-abbrev 'vhdl-template-nor))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10723 (defun vhdl-template-xor-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10724 (vhdl-hooked-abbrev 'vhdl-template-xor))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10725 (defun vhdl-template-xnor-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10726 (vhdl-hooked-abbrev 'vhdl-template-xnor))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10727 (defun vhdl-template-not-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10728 (vhdl-hooked-abbrev 'vhdl-template-not))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10729
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10730 (defun vhdl-template-default-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10731 (vhdl-hooked-abbrev 'vhdl-template-default))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10732 (defun vhdl-template-default-indent-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10733 (vhdl-hooked-abbrev 'vhdl-template-default-indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10734
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10735 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10736 ;; Template insertion from completion list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10737
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10738 (defun vhdl-template-insert-construct (name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10739 "Insert the built-in construct template with NAME."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10740 (interactive
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10741 (list (let ((completion-ignore-case t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10742 (completing-read "Construct name: "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10743 vhdl-template-construct-alist nil t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10744 (vhdl-template-insert-fun
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10745 (cadr (assoc name vhdl-template-construct-alist))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10746
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10747 (defun vhdl-template-insert-package (name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10748 "Insert the built-in package template with NAME."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10749 (interactive
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10750 (list (let ((completion-ignore-case t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10751 (completing-read "Package name: "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10752 vhdl-template-package-alist nil t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10753 (vhdl-template-insert-fun
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10754 (cadr (assoc name vhdl-template-package-alist))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10755
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10756 (defun vhdl-template-insert-directive (name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10757 "Insert the built-in directive template with NAME."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10758 (interactive
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10759 (list (let ((completion-ignore-case t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10760 (completing-read "Directive name: "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10761 vhdl-template-directive-alist nil t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10762 (vhdl-template-insert-fun
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10763 (cadr (assoc name vhdl-template-directive-alist))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10764
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10765 (defun vhdl-template-insert-fun (fun)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10766 "Call FUN to insert a built-in template."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10767 (let ((caught (catch 'abort (when fun (funcall fun)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10768 (when (stringp caught) (message caught))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10769
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10770
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10771 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10772 ;;; Models
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10773 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10774
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10775 (defun vhdl-model-insert (model-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10776 "Insert the user model with name MODEL-NAME."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10777 (interactive
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10778 (let ((completion-ignore-case t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10779 (list (completing-read "Model name: " vhdl-model-alist))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10780 (indent-according-to-mode)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10781 (let ((start (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10782 (margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10783 model position prompt string end)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10784 (vhdl-prepare-search-2
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10785 (when (setq model (assoc model-name vhdl-model-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10786 ;; insert model
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10787 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10788 (delete-horizontal-space)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10789 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10790 (vhdl-insert-string-or-file (nth 1 model))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10791 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10792 ;; indent code
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10793 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10794 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10795 (while (< (point) end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10796 (unless (looking-at "^$")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10797 (insert-char ? margin))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10798 (beginning-of-line 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10799 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10800 ;; insert clock
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10801 (unless (equal "" vhdl-clock-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10802 (while (re-search-forward "<clock>" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10803 (replace-match vhdl-clock-name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10804 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10805 ;; insert reset
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10806 (unless (equal "" vhdl-reset-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10807 (while (re-search-forward "<reset>" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10808 (replace-match vhdl-reset-name)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10809 ;; replace header prompts
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10810 (vhdl-template-replace-header-keywords start end nil t)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10811 (goto-char start)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10812 ;; query other prompts
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10813 (while (re-search-forward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10814 (concat "<\\(" vhdl-template-prompt-syntax "\\)>") end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10815 (unless (equal "cursor" (match-string 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10816 (setq position (match-beginning 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10817 (setq prompt (match-string 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10818 (replace-match "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10819 (setq string (vhdl-template-field prompt nil t))
42942
048fa049ccba Comment change.
Richard M. Stallman <rms@gnu.org>
parents: 42455
diff changeset
10820 ;; replace occurrences of same prompt
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10821 (while (re-search-forward (concat "<\\(" prompt "\\)>") end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10822 (replace-match (or string "")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10823 (goto-char position)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10824 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10825 ;; goto final position
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10826 (if (re-search-forward "<cursor>" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10827 (replace-match "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10828 (goto-char end))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10829
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10830 (defun vhdl-model-defun ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10831 "Define help and hook functions for user models."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10832 (let ((model-alist vhdl-model-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10833 model-name model-keyword)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10834 (while model-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10835 ;; define functions for user models that can be invoked from menu and key
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10836 ;; bindings and which themselves call `vhdl-model-insert' with the model
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10837 ;; name as argument
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10838 (setq model-name (nth 0 (car model-alist)))
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10839 (eval `(defun ,(vhdl-function-name "vhdl-model" model-name) ()
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10840 ,(concat "Insert model for \"" model-name "\".")
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10841 (interactive)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10842 (vhdl-model-insert ,model-name)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10843 ;; define hooks for user models that are invoked from keyword abbrevs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10844 (setq model-keyword (nth 3 (car model-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10845 (unless (equal model-keyword "")
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10846 (eval `(defun
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10847 ,(vhdl-function-name
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10848 "vhdl-model" model-name "hook") ()
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10849 (vhdl-hooked-abbrev
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
10850 ',(vhdl-function-name "vhdl-model" model-name)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10851 (setq model-alist (cdr model-alist)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10852
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10853 (vhdl-model-defun)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10854
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10855
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10856 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10857 ;;; Port translation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10858 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10859
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10860 (defvar vhdl-port-list nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10861 "Variable to hold last port map parsed.")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10862 ;; structure: (parenthesised expression means list of such entries)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10863 ;; (ent-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10864 ;; ((generic-names) generic-type generic-init generic-comment group-comment)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10865 ;; ((port-names) port-object port-direct port-type port-comment group-comment)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10866 ;; (lib-name pack-key))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10867
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10868 (defun vhdl-parse-string (string &optional optional)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10869 "Check that the text following point matches the regexp in STRING."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10870 (if (looking-at string)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10871 (goto-char (match-end 0))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10872 (unless optional
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10873 (throw 'parse (format "ERROR: Syntax error near line %s, expecting \"%s\""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10874 (vhdl-current-line) string)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10875 nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10876
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10877 (defun vhdl-replace-string (regexp-cons string &optional adjust-case)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10878 "Replace STRING from car of REGEXP-CONS to cdr of REGEXP-CONS."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10879 (vhdl-prepare-search-1
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10880 (if (string-match (car regexp-cons) string)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10881 (if adjust-case
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10882 (funcall vhdl-file-name-case
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10883 (replace-match (cdr regexp-cons) t nil string))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10884 (replace-match (cdr regexp-cons) t nil string))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10885 string)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10886
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10887 (defun vhdl-parse-group-comment ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10888 "Parse comment and empty lines between groups of lines."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10889 (let ((start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10890 string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10891 (vhdl-forward-comment (point-max))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10892 (setq string (buffer-substring-no-properties start (point)))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10893 (vhdl-forward-syntactic-ws)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10894 ;; strip off leading blanks and first newline
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10895 (while (string-match "^\\(\\s-+\\)" string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10896 (setq string (concat (substring string 0 (match-beginning 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10897 (substring string (match-end 1)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10898 (if (and (not (equal string "")) (equal (substring string 0 1) "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10899 (substring string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10900 string)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10901
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10902 (defun vhdl-paste-group-comment (string indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10903 "Paste comment and empty lines from STRING between groups of lines
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10904 with INDENT."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10905 (let ((pos (point-marker)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10906 (when (> indent 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10907 (while (string-match "^\\(--\\)" string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10908 (setq string (concat (substring string 0 (match-beginning 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10909 (make-string indent ? )
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10910 (substring string (match-beginning 1))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10911 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10912 (insert string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10913 (goto-char pos)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10914
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10915 (defvar vhdl-port-flattened nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10916 "Indicates whether a port has been flattened.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10917
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10918 (defun vhdl-port-flatten (&optional as-alist)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10919 "Flatten port list so that only one generic/port exists per line.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10920 This operation is performed on an internally stored port and is only
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10921 reflected in a subsequent paste operation."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10922 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10923 (if (not vhdl-port-list)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10924 (error "ERROR: No port has been read")
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10925 (message "Flattening port for next paste...")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10926 (let ((new-vhdl-port-list (list (car vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10927 (old-vhdl-port-list (cdr vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10928 old-port-list new-port-list old-port new-port names)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10929 ;; traverse port list and flatten entries
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10930 (while (cdr old-vhdl-port-list)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10931 (setq old-port-list (car old-vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10932 (setq new-port-list nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10933 (while old-port-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10934 (setq old-port (car old-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10935 (setq names (car old-port))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10936 (while names
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10937 (setq new-port (cons (if as-alist (car names) (list (car names)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10938 (cdr old-port)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10939 (setq new-port-list (append new-port-list (list new-port)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10940 (setq names (cdr names)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10941 (setq old-port-list (cdr old-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10942 (setq old-vhdl-port-list (cdr old-vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10943 (setq new-vhdl-port-list (append new-vhdl-port-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10944 (list new-port-list))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10945 (setq vhdl-port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10946 (append new-vhdl-port-list (list old-vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10947 vhdl-port-flattened t)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10948 (message "Flattening port for next paste...done"))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10949
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10950 (defvar vhdl-port-reversed-direction nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10951 "Indicates whether port directions are reversed.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10952
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10953 (defun vhdl-port-reverse-direction ()
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10954 "Reverse direction for all ports (useful in testbenches).
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10955 This operation is performed on an internally stored port and is only
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10956 reflected in a subsequent paste operation."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10957 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10958 (if (not vhdl-port-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10959 (error "ERROR: No port has been read")
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10960 (message "Reversing port directions for next paste...")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10961 (let ((port-list (nth 2 vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10962 port-dir-car port-dir)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10963 ;; traverse port list and reverse directions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10964 (while port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10965 (setq port-dir-car (cddr (car port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10966 port-dir (car port-dir-car))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10967 (setcar port-dir-car
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10968 (cond ((equal port-dir "in") "out")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10969 ((equal port-dir "out") "in")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10970 (t port-dir)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10971 (setq port-list (cdr port-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10972 (setq vhdl-port-reversed-direction (not vhdl-port-reversed-direction))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
10973 (message "Reversing port directions for next paste...done"))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10974
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10975 (defun vhdl-port-copy ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10976 "Get generic and port information from an entity or component declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10977 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10978 (save-excursion
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10979 (let (parse-error end-of-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10980 decl-type name generic-list port-list context-clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10981 object names direct type init comment group-comment)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10982 (vhdl-prepare-search-2
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10983 (setq
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10984 parse-error
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10985 (catch 'parse
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10986 ;; check if within entity or component declaration
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10987 (end-of-line)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10988 (when (or (not (re-search-backward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10989 "^\\s-*\\(component\\|entity\\|end\\)\\>" nil t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10990 (equal "END" (upcase (match-string 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10991 (throw 'parse "ERROR: Not within an entity or component declaration"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10992 (setq decl-type (downcase (match-string-no-properties 1)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10993 (forward-word 1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10994 (vhdl-parse-string "\\s-+\\(\\w+\\)\\(\\s-+is\\>\\)?")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10995 (setq name (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
10996 (message "Reading port of %s \"%s\"..." decl-type name)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10997 (vhdl-forward-syntactic-ws)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10998 ;; parse generic clause
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10999 (when (vhdl-parse-string "generic[ \t\n]*(" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11000 ;; parse group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11001 (setq group-comment (vhdl-parse-group-comment))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11002 (setq end-of-list (vhdl-parse-string ")[ \t\n]*;[ \t\n]*" t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11003 (while (not end-of-list)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11004 ;; parse names (accept extended identifiers)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11005 (vhdl-parse-string "\\(\\w+\\|\\\\[^\\]+\\\\\\)[ \t\n]*")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11006 (setq names (list (match-string-no-properties 1)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11007 (while (vhdl-parse-string ",[ \t\n]*\\(\\w+\\)[ \t\n]*" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11008 (setq names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11009 (append names (list (match-string-no-properties 1)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11010 ;; parse type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11011 (vhdl-parse-string ":[ \t\n]*\\([^():;\n]+\\)")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11012 (setq type (match-string-no-properties 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11013 (setq comment nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11014 (while (looking-at "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11015 (setq type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11016 (concat type
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11017 (buffer-substring-no-properties
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11018 (point) (progn (forward-sexp) (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11019 (and (vhdl-parse-string "\\([^():;\n]*\\)" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11020 (match-string-no-properties 1)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11021 ;; special case: closing parenthesis is on separate line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11022 (when (and type (string-match "\\(\\s-*--\\s-*\\)\\(.*\\)" type))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11023 (setq comment (substring type (match-beginning 2)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11024 (setq type (substring type 0 (match-beginning 1))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11025 ;; strip of trailing group-comment
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11026 (string-match "\\(\\(\\s-*\\S-+\\)+\\)\\s-*" type)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11027 (setq type (substring type 0 (match-end 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11028 ;; parse initialization expression
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11029 (setq init nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11030 (when (vhdl-parse-string ":=[ \t\n]*" t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11031 (vhdl-parse-string "\\([^();\n]*\\)")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11032 (setq init (match-string-no-properties 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11033 (while (looking-at "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11034 (setq init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11035 (concat init
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11036 (buffer-substring-no-properties
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11037 (point) (progn (forward-sexp) (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11038 (and (vhdl-parse-string "\\([^();\n]*\\)" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11039 (match-string-no-properties 1))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11040 ;; special case: closing parenthesis is on separate line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11041 (when (and init (string-match "\\(\\s-*--\\s-*\\)\\(.*\\)" init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11042 (setq comment (substring init (match-beginning 2)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11043 (setq init (substring init 0 (match-beginning 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11044 (vhdl-forward-syntactic-ws))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11045 (skip-chars-forward " \t")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11046 ;; parse inline comment, special case: as above, no initial.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11047 (unless comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11048 (setq comment (and (vhdl-parse-string "--\\s-*\\([^\n]*\\)" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11049 (match-string-no-properties 1))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11050 (vhdl-forward-syntactic-ws)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11051 (setq end-of-list (vhdl-parse-string ")" t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11052 (vhdl-parse-string "\\s-*;\\s-*")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11053 ;; parse inline comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11054 (unless comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11055 (setq comment (and (vhdl-parse-string "--\\s-*\\([^\n]*\\)" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11056 (match-string-no-properties 1))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11057 ;; save everything in list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11058 (setq generic-list (append generic-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11059 (list (list names type init
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11060 comment group-comment))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11061 ;; parse group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11062 (setq group-comment (vhdl-parse-group-comment))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11063 ;; parse port clause
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11064 (when (vhdl-parse-string "port[ \t\n]*(" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11065 ;; parse group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11066 (setq group-comment (vhdl-parse-group-comment))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11067 (setq end-of-list (vhdl-parse-string ")[ \t\n]*;[ \t\n]*" t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11068 (while (not end-of-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11069 ;; parse object
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11070 (setq object
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11071 (and (vhdl-parse-string "\\<\\(signal\\|quantity\\|terminal\\)\\>[ \t\n]*" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11072 (match-string-no-properties 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11073 ;; parse names (accept extended identifiers)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11074 (vhdl-parse-string "\\(\\w+\\|\\\\[^\\]+\\\\\\)[ \t\n]*")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11075 (setq names (list (match-string-no-properties 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11076 (while (vhdl-parse-string ",[ \t\n]*\\(\\w+\\|\\\\[^\\]+\\\\\\)[ \t\n]*" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11077 (setq names (append names (list (match-string-no-properties 1)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11078 ;; parse direction
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11079 (vhdl-parse-string ":[ \t\n]*")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11080 (setq direct
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11081 (and (vhdl-parse-string "\\<\\(in\\|out\\|inout\\|buffer\\|linkage\\)\\>[ \t\n]+" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11082 (match-string-no-properties 1)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11083 ;; parse type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11084 (vhdl-parse-string "\\([^();\n]+\\)")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11085 (setq type (match-string-no-properties 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11086 (setq comment nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11087 (while (looking-at "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11088 (setq type (concat type
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11089 (buffer-substring-no-properties
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11090 (point) (progn (forward-sexp) (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11091 (and (vhdl-parse-string "\\([^();\n]*\\)" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11092 (match-string-no-properties 1)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11093 ;; special case: closing parenthesis is on separate line
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11094 (when (and type (string-match "\\(\\s-*--\\s-*\\)\\(.*\\)" type))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11095 (setq comment (substring type (match-beginning 2)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11096 (setq type (substring type 0 (match-beginning 1))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11097 ;; strip of trailing group-comment
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11098 (string-match "\\(\\(\\s-*\\S-+\\)+\\)\\s-*" type)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11099 (setq type (substring type 0 (match-end 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11100 (vhdl-forward-syntactic-ws)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11101 (setq end-of-list (vhdl-parse-string ")" t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11102 (vhdl-parse-string "\\s-*;\\s-*")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11103 ;; parse inline comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11104 (unless comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11105 (setq comment (and (vhdl-parse-string "--\\s-*\\([^\n]*\\)" t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11106 (match-string-no-properties 1))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11107 ;; save everything in list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11108 (setq port-list (append port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11109 (list (list names object direct type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11110 comment group-comment))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11111 ;; parse group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11112 (setq group-comment (vhdl-parse-group-comment))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11113 ; (vhdl-parse-string "end\\>")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11114 ;; parse context clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11115 (setq context-clause (vhdl-scan-context-clause))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11116 ; ;; add surrounding package to context clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11117 ; (when (and (equal decl-type "component")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11118 ; (re-search-backward "^\\s-*package\\s-+\\(\\w+\\)" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11119 ; (setq context-clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11120 ; (append context-clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11121 ; (list (cons (vhdl-work-library)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11122 ; (match-string-no-properties 1))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11123 (message "Reading port of %s \"%s\"...done" decl-type name)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11124 nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11125 ;; finish parsing
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11126 (if parse-error
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11127 (error parse-error)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11128 (setq vhdl-port-list (list name generic-list port-list context-clause)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11129 vhdl-port-reversed-direction nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11130 vhdl-port-flattened nil)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11131
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11132 (defun vhdl-port-paste-context-clause (&optional exclude-pack-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11133 "Paste a context clause."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11134 (let ((margin (current-indentation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11135 (clause-list (nth 3 vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11136 clause)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11137 (while clause-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11138 (setq clause (car clause-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11139 (unless (or (and exclude-pack-name (equal (downcase (cdr clause))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11140 (downcase exclude-pack-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11141 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11142 (re-search-backward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11143 (concat "^\\s-*use\\s-+" (car clause)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11144 "\." (cdr clause) "\\>") nil t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11145 (vhdl-template-standard-package (car clause) (cdr clause))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11146 (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11147 (setq clause-list (cdr clause-list)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11148
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11149 (defun vhdl-port-paste-generic (&optional no-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11150 "Paste a generic clause."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11151 (let ((margin (current-indentation))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11152 (generic-list (nth 1 vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11153 list-margin start names generic)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11154 ;; paste generic clause
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11155 (when generic-list
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11156 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11157 (vhdl-insert-keyword "GENERIC (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11158 (unless vhdl-argument-list-indent
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11159 (insert "\n") (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11160 (setq list-margin (current-column))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11161 (while generic-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11162 (setq generic (car generic-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11163 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11164 (when (memq vhdl-include-group-comments '(decl always))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11165 (vhdl-paste-group-comment (nth 4 generic) list-margin))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11166 ;; paste names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11167 (setq names (nth 0 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11168 (while names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11169 (insert (car names))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11170 (setq names (cdr names))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11171 (when names (insert ", ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11172 ;; paste type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11173 (insert " : " (nth 1 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11174 ;; paste initialization
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11175 (when (and (not no-init) (nth 2 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11176 (insert " := " (nth 2 generic)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11177 (unless (cdr generic-list) (insert ")"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11178 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11179 ;; paste comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11180 (when (and vhdl-include-port-comments (nth 3 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11181 (vhdl-comment-insert-inline (nth 3 generic) t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11182 (setq generic-list (cdr generic-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11183 (when generic-list (insert "\n") (indent-to list-margin)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11184 ;; align generic clause
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11185 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1 t)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11186
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11187 (defun vhdl-port-paste-port ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11188 "Paste a port clause."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11189 (let ((margin (current-indentation))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11190 (port-list (nth 2 vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11191 list-margin start names port)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11192 ;; paste port clause
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11193 (when port-list
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11194 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11195 (vhdl-insert-keyword "PORT (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11196 (unless vhdl-argument-list-indent
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11197 (insert "\n") (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11198 (setq list-margin (current-column))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11199 (while port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11200 (setq port (car port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11201 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11202 (when (memq vhdl-include-group-comments '(decl always))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11203 (vhdl-paste-group-comment (nth 5 port) list-margin))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11204 ;; paste object
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11205 (when (nth 1 port) (insert (nth 1 port) " "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11206 ;; paste names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11207 (setq names (nth 0 port))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11208 (while names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11209 (insert (car names))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11210 (setq names (cdr names))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11211 (when names (insert ", ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11212 ;; paste direction
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11213 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11214 (when (nth 2 port) (insert (nth 2 port) " "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11215 ;; paste type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11216 (insert (nth 3 port))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11217 (unless (cdr port-list) (insert ")"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11218 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11219 ;; paste comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11220 (when (and vhdl-include-port-comments (nth 4 port))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11221 (vhdl-comment-insert-inline (nth 4 port) t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11222 (setq port-list (cdr port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11223 (when port-list (insert "\n") (indent-to list-margin)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11224 ;; align port clause
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11225 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11226
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11227 (defun vhdl-port-paste-declaration (kind &optional no-indent)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11228 "Paste as an entity or component declaration."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11229 (unless no-indent (indent-according-to-mode))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11230 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11231 (name (nth 0 vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11232 (vhdl-insert-keyword (if (eq kind 'entity) "ENTITY " "COMPONENT "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11233 (insert name)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11234 (when (or (eq kind 'entity) (not (vhdl-standard-p '87)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11235 (vhdl-insert-keyword " IS"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11236 ;; paste generic and port clause
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11237 (when (nth 1 vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11238 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11239 (when (and (memq vhdl-insert-empty-lines '(unit all)) (eq kind 'entity))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11240 (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11241 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11242 (vhdl-port-paste-generic (eq kind 'component)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11243 (when (nth 2 vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11244 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11245 (when (and (memq vhdl-insert-empty-lines '(unit all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11246 (eq kind 'entity))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11247 (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11248 (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11249 (vhdl-port-paste-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11250 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11251 (when (and (memq vhdl-insert-empty-lines '(unit all)) (eq kind 'entity))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11252 (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11253 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11254 (vhdl-insert-keyword "END")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11255 (if (eq kind 'entity)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11256 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11257 (unless (vhdl-standard-p '87) (vhdl-insert-keyword " ENTITY"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11258 (insert " " name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11259 (vhdl-insert-keyword " COMPONENT")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11260 (unless (vhdl-standard-p '87) (insert " " name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11261 (insert ";")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11262
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11263 (defun vhdl-port-paste-entity (&optional no-indent)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11264 "Paste as an entity declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11265 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11266 (if (not vhdl-port-list)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11267 (error "ERROR: No port read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11268 (message "Pasting port as entity \"%s\"..." (car vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11269 (vhdl-port-paste-declaration 'entity no-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11270 (message "Pasting port as entity \"%s\"...done" (car vhdl-port-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11271
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11272 (defun vhdl-port-paste-component (&optional no-indent)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11273 "Paste as a component declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11274 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11275 (if (not vhdl-port-list)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11276 (error "ERROR: No port read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11277 (message "Pasting port as component \"%s\"..." (car vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11278 (vhdl-port-paste-declaration 'component no-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11279 (message "Pasting port as component \"%s\"...done" (car vhdl-port-list))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11280
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11281 (defun vhdl-port-paste-generic-map (&optional secondary no-constants)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11282 "Paste as a generic map."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11283 (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11284 (unless secondary (indent-according-to-mode))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11285 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11286 list-margin start generic
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11287 (generic-list (nth 1 vhdl-port-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11288 (when generic-list
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11289 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11290 (vhdl-insert-keyword "GENERIC MAP (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11291 (if (not vhdl-association-list-with-formals)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11292 ;; paste list of actual generics
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11293 (while generic-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11294 (insert (if no-constants
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11295 (car (nth 0 (car generic-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11296 (or (nth 2 (car generic-list)) " ")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11297 (setq generic-list (cdr generic-list))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11298 (insert (if generic-list ", " ")"))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11299 (when (and (not generic-list) secondary
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11300 (null (nth 2 vhdl-port-list)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11301 (insert ";")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11302 (unless vhdl-argument-list-indent
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11303 (insert "\n") (indent-to (+ margin vhdl-basic-offset)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11304 (setq list-margin (current-column))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11305 (while generic-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11306 (setq generic (car generic-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11307 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11308 (when (eq vhdl-include-group-comments 'always)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11309 (vhdl-paste-group-comment (nth 4 generic) list-margin))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11310 ;; paste formal and actual generic
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11311 (insert (car (nth 0 generic)) " => "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11312 (if no-constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11313 (car (nth 0 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11314 (or (nth 2 generic) "")))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11315 (setq generic-list (cdr generic-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11316 (insert (if generic-list "," ")"))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11317 (when (and (not generic-list) secondary
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11318 (null (nth 2 vhdl-port-list)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11319 (insert ";"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11320 ;; paste comment
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11321 (when (or vhdl-include-type-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11322 (and vhdl-include-port-comments (nth 3 generic)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11323 (vhdl-comment-insert-inline
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11324 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11325 (when vhdl-include-type-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11326 (concat "[" (nth 1 generic) "] "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11327 (when vhdl-include-port-comments (nth 3 generic))) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11328 (when generic-list (insert "\n") (indent-to list-margin)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11329 ;; align generic map
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11330 (when vhdl-auto-align
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11331 (vhdl-align-region-groups start (point) 1 t))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11332
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11333 (defun vhdl-port-paste-port-map ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11334 "Paste as a port map."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11335 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11336 list-margin start port
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11337 (port-list (nth 2 vhdl-port-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11338 (when port-list
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11339 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11340 (vhdl-insert-keyword "PORT MAP (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11341 (if (not vhdl-association-list-with-formals)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11342 ;; paste list of actual ports
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11343 (while port-list
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11344 (insert (vhdl-replace-string vhdl-actual-port-name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11345 (car (nth 0 (car port-list)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11346 (setq port-list (cdr port-list))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11347 (insert (if port-list ", " ")")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11348 (unless vhdl-argument-list-indent
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11349 (insert "\n") (indent-to (+ margin vhdl-basic-offset)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11350 (setq list-margin (current-column))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11351 (while port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11352 (setq port (car port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11353 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11354 (when (eq vhdl-include-group-comments 'always)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11355 (vhdl-paste-group-comment (nth 5 port) list-margin))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11356 ;; paste formal and actual port
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11357 (insert (car (nth 0 port)) " => ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11358 (insert (vhdl-replace-string vhdl-actual-port-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11359 (car (nth 0 port))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11360 (setq port-list (cdr port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11361 (insert (if port-list "," ");"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11362 ;; paste comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11363 (when (or vhdl-include-direction-comments
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11364 vhdl-include-type-comments
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11365 (and vhdl-include-port-comments (nth 4 port)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11366 (vhdl-comment-insert-inline
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11367 (concat
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11368 (cond ((and vhdl-include-direction-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11369 vhdl-include-type-comments)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11370 (concat "[" (format "%-4s" (concat (nth 2 port) " "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11371 (nth 3 port) "] "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11372 ((and vhdl-include-direction-comments (nth 2 port))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11373 (format "%-6s" (concat "[" (nth 2 port) "] ")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11374 (vhdl-include-direction-comments " ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11375 (vhdl-include-type-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11376 (concat "[" (nth 3 port) "] ")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11377 (when vhdl-include-port-comments (nth 4 port))) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11378 (when port-list (insert "\n") (indent-to list-margin)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11379 ;; align port clause
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11380 (when vhdl-auto-align
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11381 (vhdl-align-region-groups start (point) 1))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11382
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11383 (defun vhdl-port-paste-instance (&optional name no-indent title)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11384 "Paste as an instantiation."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11385 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11386 (if (not vhdl-port-list)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11387 (error "ERROR: No port read")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11388 (let ((orig-vhdl-port-list vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11389 ;; flatten local copy of port list (must be flat for port mapping)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11390 (vhdl-port-flatten)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11391 (unless no-indent (indent-according-to-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11392 (let ((margin (current-indentation)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11393 ;; paste instantiation
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11394 (cond (name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11395 (insert name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11396 ((equal (cdr vhdl-instance-name) "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11397 (setq name (vhdl-template-field "instance name")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11398 ((string-match "\%d" (cdr vhdl-instance-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11399 (let ((n 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11400 (while (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11401 (setq name (format (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11402 vhdl-instance-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11403 (nth 0 vhdl-port-list)) n))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11404 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11405 (vhdl-re-search-forward name nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11406 (setq n (1+ n)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11407 (insert name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11408 (t (insert (vhdl-replace-string vhdl-instance-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11409 (nth 0 vhdl-port-list)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11410 (message "Pasting port as instantiation \"%s\"..." name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11411 (insert ": ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11412 (when title
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11413 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11414 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11415 (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11416 (insert "-- instance \"" name "\"\n")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11417 (if (not (vhdl-use-direct-instantiation))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11418 (insert (nth 0 vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11419 (vhdl-insert-keyword "ENTITY ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11420 (insert (vhdl-work-library) "." (nth 0 vhdl-port-list)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11421 (when (nth 1 vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11422 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11423 (vhdl-port-paste-generic-map t t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11424 (when (nth 2 vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11425 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11426 (vhdl-port-paste-port-map))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11427 (unless (or (nth 1 vhdl-port-list) (nth 2 vhdl-port-list))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11428 (insert ";"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11429 (message "Pasting port as instantiation \"%s\"...done" name))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11430 (setq vhdl-port-list orig-vhdl-port-list))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11431
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11432 (defun vhdl-port-paste-constants (&optional no-indent)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11433 "Paste generics as constants."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11434 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11435 (if (not vhdl-port-list)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11436 (error "ERROR: No port read")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11437 (let ((orig-vhdl-port-list vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11438 (message "Pasting port as constants...")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11439 ;; flatten local copy of port list (must be flat for constant initial.)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11440 (vhdl-port-flatten)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11441 (unless no-indent (indent-according-to-mode))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11442 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11443 start generic name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11444 (generic-list (nth 1 vhdl-port-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11445 (when generic-list
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11446 (setq start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11447 (while generic-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11448 (setq generic (car generic-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11449 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11450 (when (memq vhdl-include-group-comments '(decl always))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11451 (vhdl-paste-group-comment (nth 4 generic) margin))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11452 (vhdl-insert-keyword "CONSTANT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11453 ;; paste generic constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11454 (setq name (nth 0 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11455 (when name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11456 (insert (car name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11457 ;; paste type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11458 (insert " : " (nth 1 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11459 ;; paste initialization
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11460 (when (nth 2 generic)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11461 (insert " := " (nth 2 generic)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11462 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11463 ;; paste comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11464 (when (and vhdl-include-port-comments (nth 3 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11465 (vhdl-comment-insert-inline (nth 3 generic) t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11466 (setq generic-list (cdr generic-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11467 (when generic-list (insert "\n") (indent-to margin))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11468 ;; align signal list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11469 (when vhdl-auto-align
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11470 (vhdl-align-region-groups start (point) 1))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11471 (message "Pasting port as constants...done")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11472 (setq vhdl-port-list orig-vhdl-port-list))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11473
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11474 (defun vhdl-port-paste-signals (&optional initialize no-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11475 "Paste ports as internal signals."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11476 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11477 (if (not vhdl-port-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11478 (error "ERROR: No port read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11479 (message "Pasting port as signals...")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11480 (unless no-indent (indent-according-to-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11481 (let ((margin (current-indentation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11482 start port names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11483 (port-list (nth 2 vhdl-port-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11484 (when port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11485 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11486 (while port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11487 (setq port (car port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11488 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11489 (when (memq vhdl-include-group-comments '(decl always))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11490 (vhdl-paste-group-comment (nth 5 port) margin))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11491 ;; paste object
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11492 (if (nth 1 port)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11493 (insert (nth 1 port) " ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11494 (vhdl-insert-keyword "SIGNAL "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11495 ;; paste actual port signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11496 (setq names (nth 0 port))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11497 (while names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11498 (insert (vhdl-replace-string vhdl-actual-port-name (car names)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11499 (setq names (cdr names))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11500 (when names (insert ", ")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11501 ;; paste type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11502 (insert " : " (nth 3 port))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11503 ;; paste initialization (inputs only)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11504 (when (and initialize (equal "IN" (upcase (nth 2 port))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11505 (insert " := " (if (string-match "(.+)" (nth 3 port))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11506 "(others => '0')" "'0'")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11507 (insert ";")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11508 ;; paste comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11509 (when (or vhdl-include-direction-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11510 (and vhdl-include-port-comments (nth 4 port)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11511 (vhdl-comment-insert-inline
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11512 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11513 (cond ((and vhdl-include-direction-comments (nth 2 port))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11514 (format "%-6s" (concat "[" (nth 2 port) "] ")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11515 (vhdl-include-direction-comments " "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11516 (when vhdl-include-port-comments (nth 4 port))) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11517 (setq port-list (cdr port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11518 (when port-list (insert "\n") (indent-to margin)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11519 ;; align signal list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11520 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11521 (message "Pasting port as signals...done")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11522
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11523 (defun vhdl-port-paste-initializations (&optional no-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11524 "Paste ports as signal initializations."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11525 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11526 (if (not vhdl-port-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11527 (error "ERROR: No port read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11528 (let ((orig-vhdl-port-list vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11529 (message "Pasting port as initializations...")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11530 ;; flatten local copy of port list (must be flat for signal initial.)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11531 (vhdl-port-flatten)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11532 (unless no-indent (indent-according-to-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11533 (let ((margin (current-indentation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11534 start port name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11535 (port-list (nth 2 vhdl-port-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11536 (when port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11537 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11538 (while port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11539 (setq port (car port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11540 ;; paste actual port signal (inputs only)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11541 (when (equal "IN" (upcase (nth 2 port)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11542 (setq name (car (nth 0 port)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11543 (insert (vhdl-replace-string vhdl-actual-port-name name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11544 ;; paste initialization
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11545 (insert " <= " (if (string-match "(.+)" (nth 3 port))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11546 "(others => '0')" "'0'") ";"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11547 (setq port-list (cdr port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11548 (when (and port-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11549 (equal "IN" (upcase (nth 2 (car port-list)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11550 (insert "\n") (indent-to margin)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11551 ;; align signal list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11552 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11553 (message "Pasting port as initializations...done")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11554 (setq vhdl-port-list orig-vhdl-port-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11555
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11556 (defun vhdl-port-paste-testbench ()
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11557 "Paste as a bare-bones testbench."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11558 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11559 (if (not vhdl-port-list)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11560 (error "ERROR: No port read")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11561 (let ((case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11562 (ent-name (vhdl-replace-string vhdl-testbench-entity-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11563 (nth 0 vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11564 (source-buffer (current-buffer))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11565 arch-name config-name ent-file-name arch-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11566 ent-buffer arch-buffer position)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11567 ;; open entity file
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11568 (unless (eq vhdl-testbench-create-files 'none)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11569 (setq ent-file-name
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11570 (concat (vhdl-replace-string vhdl-testbench-entity-file-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11571 ent-name t)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11572 "." (file-name-extension (buffer-file-name))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11573 (if (file-exists-p ent-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11574 (if (y-or-n-p
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11575 (concat "File \"" ent-file-name "\" exists; overwrite? "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11576 (progn (find-file ent-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11577 (erase-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11578 (set-buffer-modified-p nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11579 (if (eq vhdl-testbench-create-files 'separate)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11580 (setq ent-file-name nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11581 (error "ERROR: Pasting port as testbench...aborted")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11582 (find-file ent-file-name)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11583 (unless (and (eq vhdl-testbench-create-files 'separate)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11584 (null ent-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11585 ;; paste entity header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11586 (if vhdl-testbench-include-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11587 (progn (vhdl-template-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11588 (concat "Testbench for design \""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11589 (nth 0 vhdl-port-list) "\""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11590 (goto-char (point-max)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11591 (vhdl-comment-display-line) (insert "\n\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11592 ;; paste std_logic_1164 package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11593 (when vhdl-testbench-include-library
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11594 (vhdl-template-package-std-logic-1164)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11595 (insert "\n\n") (vhdl-comment-display-line) (insert "\n\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11596 ;; paste entity declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11597 (vhdl-insert-keyword "ENTITY ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11598 (insert ent-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11599 (vhdl-insert-keyword " IS")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11600 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11601 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11602 (vhdl-insert-keyword "END ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11603 (unless (vhdl-standard-p '87) (vhdl-insert-keyword "ENTITY "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11604 (insert ent-name ";")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11605 (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11606 (vhdl-comment-display-line) (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11607 ;; get architecture name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11608 (setq arch-name (if (equal (cdr vhdl-testbench-architecture-name) "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11609 (read-from-minibuffer "architecture name: "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11610 nil vhdl-minibuffer-local-map)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11611 (vhdl-replace-string vhdl-testbench-architecture-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11612 (nth 0 vhdl-port-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11613 (message "Pasting port as testbench \"%s(%s)\"..." ent-name arch-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11614 ;; open architecture file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11615 (if (not (eq vhdl-testbench-create-files 'separate))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11616 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11617 (setq ent-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11618 (setq arch-file-name
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11619 (concat (vhdl-replace-string vhdl-testbench-architecture-file-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11620 (concat ent-name " " arch-name) t)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11621 "." (file-name-extension (buffer-file-name))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11622 (when (and (file-exists-p arch-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11623 (not (y-or-n-p (concat "File \"" arch-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11624 "\" exists; overwrite? "))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11625 (error "ERROR: Pasting port as testbench...aborted"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11626 (find-file arch-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11627 (erase-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11628 (set-buffer-modified-p nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11629 ;; paste architecture header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11630 (if vhdl-testbench-include-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11631 (progn (vhdl-template-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11632 (concat "Testbench architecture for design \""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11633 (nth 0 vhdl-port-list) "\""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11634 (goto-char (point-max)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11635 (vhdl-comment-display-line) (insert "\n\n")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11636 ;; paste architecture body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11637 (vhdl-insert-keyword "ARCHITECTURE ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11638 (insert arch-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11639 (vhdl-insert-keyword " OF ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11640 (insert ent-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11641 (vhdl-insert-keyword " IS")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11642 (insert "\n\n") (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11643 ;; paste component declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11644 (unless (vhdl-use-direct-instantiation)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11645 (vhdl-port-paste-component t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11646 (insert "\n\n") (indent-to vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11647 ;; paste constants
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11648 (when (nth 1 vhdl-port-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11649 (insert "-- component generics\n") (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11650 (vhdl-port-paste-constants t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11651 (insert "\n\n") (indent-to vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11652 ;; paste internal signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11653 (insert "-- component ports\n") (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11654 (vhdl-port-paste-signals vhdl-testbench-initialize-signals t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11655 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11656 ;; paste custom declarations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11657 (unless (equal "" vhdl-testbench-declarations)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11658 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11659 (vhdl-insert-string-or-file vhdl-testbench-declarations))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11660 (setq position (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11661 (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11662 (vhdl-comment-display-line) (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11663 (when vhdl-testbench-include-configuration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11664 (setq config-name (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11665 vhdl-testbench-configuration-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11666 (concat ent-name " " arch-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11667 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11668 (vhdl-insert-keyword "CONFIGURATION ") (insert config-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11669 (vhdl-insert-keyword " OF ") (insert ent-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11670 (vhdl-insert-keyword " IS\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11671 (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11672 (vhdl-insert-keyword "FOR ") (insert arch-name "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11673 (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11674 (vhdl-insert-keyword "END FOR;\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11675 (vhdl-insert-keyword "END ") (insert config-name ";\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11676 (vhdl-comment-display-line) (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11677 (goto-char position)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11678 (vhdl-template-begin-end
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11679 (unless (vhdl-standard-p '87) "ARCHITECTURE") arch-name 0 t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11680 ;; paste instantiation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11681 (insert "-- component instantiation\n") (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11682 (vhdl-port-paste-instance
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11683 (vhdl-replace-string vhdl-testbench-dut-name (nth 0 vhdl-port-list)) t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11684 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11685 ;; paste custom statements
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11686 (unless (equal "" vhdl-testbench-statements)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11687 (insert "\n")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11688 (vhdl-insert-string-or-file vhdl-testbench-statements))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11689 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11690 (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11691 (unless (eq vhdl-testbench-create-files 'none)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11692 (setq arch-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11693 (when ent-buffer (set-buffer ent-buffer) (save-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11694 (set-buffer arch-buffer) (save-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11695 (message
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11696 (concat (format "Pasting port as testbench \"%s(%s)\"...done"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11697 ent-name arch-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11698 (and ent-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11699 (format "\n File created: \"%s\"" ent-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11700 (and arch-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11701 (format "\n File created: \"%s\"" arch-file-name)))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11702
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11703
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11704 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11705 ;;; Subprogram interface translation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11706 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11707
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11708 (defvar vhdl-subprog-list nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11709 "Variable to hold last subprogram interface parsed.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11710 ;; structure: (parenthesised expression means list of such entries)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11711 ;; (subprog-name kind
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11712 ;; ((names) object direct type init comment group-comment)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11713 ;; return-type return-comment group-comment)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11714
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11715 (defvar vhdl-subprog-flattened nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11716 "Indicates whether an subprogram interface has been flattened.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11717
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11718 (defun vhdl-subprog-flatten ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11719 "Flatten interface list so that only one parameter exists per line."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11720 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11721 (if (not vhdl-subprog-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11722 (error "ERROR: No subprogram interface has been read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11723 (message "Flattening subprogram interface...")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11724 (let ((old-subprog-list (nth 2 vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11725 new-subprog-list old-subprog new-subprog names)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11726 ;; traverse parameter list and flatten entries
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11727 (while old-subprog-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11728 (setq old-subprog (car old-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11729 (setq names (car old-subprog))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11730 (while names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11731 (setq new-subprog (cons (list (car names)) (cdr old-subprog)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11732 (setq new-subprog-list (append new-subprog-list (list new-subprog)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11733 (setq names (cdr names)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11734 (setq old-subprog-list (cdr old-subprog-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11735 (setq vhdl-subprog-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11736 (list (nth 0 vhdl-subprog-list) (nth 1 vhdl-subprog-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11737 new-subprog-list (nth 3 vhdl-subprog-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11738 (nth 4 vhdl-subprog-list) (nth 5 vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11739 vhdl-subprog-flattened t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11740 (message "Flattening subprogram interface...done"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11741
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11742 (defun vhdl-subprog-copy ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11743 "Get interface information from a subprogram specification."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11744 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11745 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11746 (let (parse-error pos end-of-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11747 name kind param-list object names direct type init
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11748 comment group-comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11749 return-type return-comment return-group-comment)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11750 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11751 (setq
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11752 parse-error
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11753 (catch 'parse
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11754 ;; check if within function declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11755 (setq pos (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11756 (end-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11757 (when (looking-at "[ \t\n]*\\((\\|;\\|is\\>\\)") (goto-char (match-end 0)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11758 (unless (and (re-search-backward "^\\s-*\\(\\(procedure\\)\\|\\(\\(pure\\|impure\\)\\s-+\\)?function\\)\\s-+\\(\"?\\w+\"?\\)[ \t\n]*\\(\\((\\)\\|;\\|is\\>\\)" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11759 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11760 (save-excursion (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11761 (forward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11762 (<= pos (point))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11763 (throw 'parse "ERROR: Not within a subprogram specification"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11764 (setq name (match-string-no-properties 5))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11765 (setq kind (if (match-string 2) 'procedure 'function))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11766 (setq end-of-list (not (match-string 7)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11767 (message "Reading interface of subprogram \"%s\"..." name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11768 ;; parse parameter list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11769 (setq group-comment (vhdl-parse-group-comment))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11770 (setq end-of-list (or end-of-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11771 (vhdl-parse-string ")[ \t\n]*\\(;\\|\\(is\\|return\\)\\>\\)" t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11772 (while (not end-of-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11773 ;; parse object
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11774 (setq object
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11775 (and (vhdl-parse-string "\\(constant\\|signal\\|variable\\|file\\|quantity\\|terminal\\)[ \t\n]*" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11776 (match-string-no-properties 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11777 ;; parse names (accept extended identifiers)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11778 (vhdl-parse-string "\\(\\w+\\|\\\\[^\\]+\\\\\\)[ \t\n]*")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11779 (setq names (list (match-string-no-properties 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11780 (while (vhdl-parse-string ",[ \t\n]*\\(\\w+\\|\\\\[^\\]+\\\\\\)[ \t\n]*" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11781 (setq names (append names (list (match-string-no-properties 1)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11782 ;; parse direction
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11783 (vhdl-parse-string ":[ \t\n]*")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11784 (setq direct
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11785 (and (vhdl-parse-string "\\(in\\|out\\|inout\\|buffer\\|linkage\\)[ \t\n]+" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11786 (match-string-no-properties 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11787 ;; parse type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11788 (vhdl-parse-string "\\([^():;\n]+\\)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11789 (setq type (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11790 (setq comment nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11791 (while (looking-at "(")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11792 (setq type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11793 (concat type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11794 (buffer-substring-no-properties
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11795 (point) (progn (forward-sexp) (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11796 (and (vhdl-parse-string "\\([^():;\n]*\\)" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11797 (match-string-no-properties 1)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11798 ;; special case: closing parenthesis is on separate line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11799 (when (and type (string-match "\\(\\s-*--\\s-*\\)\\(.*\\)" type))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11800 (setq comment (substring type (match-beginning 2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11801 (setq type (substring type 0 (match-beginning 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11802 ;; strip off trailing group-comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11803 (string-match "\\(\\(\\s-*\\S-+\\)+\\)\\s-*" type)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11804 (setq type (substring type 0 (match-end 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11805 ;; parse initialization expression
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11806 (setq init nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11807 (when (vhdl-parse-string ":=[ \t\n]*" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11808 (vhdl-parse-string "\\([^();\n]*\\)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11809 (setq init (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11810 (while (looking-at "(")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11811 (setq init
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11812 (concat init
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11813 (buffer-substring-no-properties
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11814 (point) (progn (forward-sexp) (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11815 (and (vhdl-parse-string "\\([^();\n]*\\)" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11816 (match-string-no-properties 1))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11817 ;; special case: closing parenthesis is on separate line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11818 (when (and init (string-match "\\(\\s-*--\\s-*\\)\\(.*\\)" init))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11819 (setq comment (substring init (match-beginning 2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11820 (setq init (substring init 0 (match-beginning 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11821 (vhdl-forward-syntactic-ws))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11822 (skip-chars-forward " \t")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11823 ;; parse inline comment, special case: as above, no initial.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11824 (unless comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11825 (setq comment (and (vhdl-parse-string "--\\s-*\\([^\n]*\\)" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11826 (match-string-no-properties 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11827 (vhdl-forward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11828 (setq end-of-list (vhdl-parse-string ")\\s-*" t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11829 ;; parse inline comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11830 (unless comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11831 (setq comment (and (vhdl-parse-string "--\\s-*\\([^\n]*\\)" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11832 (match-string-no-properties 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11833 (setq return-group-comment (vhdl-parse-group-comment))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11834 (vhdl-parse-string "\\(;\\|\\(is\\|\\(return\\)\\)\\>\\)\\s-*")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11835 ;; parse return type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11836 (when (match-string 3)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11837 (vhdl-parse-string "[ \t\n]*\\(.+\\)[ \t\n]*\\(;\\|is\\>\\)\\s-*")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11838 (setq return-type (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11839 (when (and return-type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11840 (string-match "\\(\\s-*--\\s-*\\)\\(.*\\)" return-type))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11841 (setq return-comment (substring return-type (match-beginning 2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11842 (setq return-type (substring return-type 0 (match-beginning 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11843 ;; strip of trailing group-comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11844 (string-match "\\(\\(\\s-*\\S-+\\)+\\)\\s-*" return-type)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11845 (setq return-type (substring return-type 0 (match-end 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11846 ;; parse return comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11847 (unless return-comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11848 (setq return-comment (and (vhdl-parse-string "--\\s-*\\([^\n]*\\)" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11849 (match-string-no-properties 1)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11850 ;; parse inline comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11851 (unless comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11852 (setq comment (and (vhdl-parse-string "--\\s-*\\([^\n]*\\)" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11853 (match-string-no-properties 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11854 ;; save everything in list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11855 (setq param-list (append param-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11856 (list (list names object direct type init
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11857 comment group-comment))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11858 ;; parse group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11859 (setq group-comment (vhdl-parse-group-comment)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11860 (message "Reading interface of subprogram \"%s\"...done" name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11861 nil)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11862 ;; finish parsing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11863 (if parse-error
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11864 (error parse-error)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11865 (setq vhdl-subprog-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11866 (list name kind param-list return-type return-comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11867 return-group-comment)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11868 vhdl-subprog-flattened nil)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11869
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11870 (defun vhdl-subprog-paste-specification (kind)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11871 "Paste as a subprogram specification."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11872 (indent-according-to-mode)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11873 (let ((margin (current-column))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11874 (param-list (nth 2 vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11875 list-margin start names param)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11876 ;; paste keyword and name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11877 (vhdl-insert-keyword
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11878 (if (eq (nth 1 vhdl-subprog-list) 'procedure) "PROCEDURE " "FUNCTION "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11879 (insert (nth 0 vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11880 (if (not param-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11881 (if (eq kind 'decl) (insert ";") (vhdl-insert-keyword " is"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11882 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11883 ;; paste parameter list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11884 (insert " (")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11885 (unless vhdl-argument-list-indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11886 (insert "\n") (indent-to (+ margin vhdl-basic-offset)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11887 (setq list-margin (current-column))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11888 (while param-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11889 (setq param (car param-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11890 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11891 (when (memq vhdl-include-group-comments (list kind 'always))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11892 (vhdl-paste-group-comment (nth 6 param) list-margin))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11893 ;; paste object
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11894 (when (nth 1 param) (insert (nth 1 param) " "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11895 ;; paste names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11896 (setq names (nth 0 param))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11897 (while names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11898 (insert (car names))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11899 (setq names (cdr names))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11900 (when names (insert ", ")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11901 ;; paste direction
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11902 (insert " : ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11903 (when (nth 2 param) (insert (nth 2 param) " "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11904 ;; paste type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11905 (insert (nth 3 param))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11906 ;; paste initialization
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11907 (when (nth 4 param) (insert " := " (nth 4 param)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11908 ;; terminate line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11909 (if (cdr param-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11910 (insert ";")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11911 (insert ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11912 (when (null (nth 3 vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11913 (if (eq kind 'decl) (insert ";") (vhdl-insert-keyword " is"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11914 ;; paste comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11915 (when (and vhdl-include-port-comments (nth 5 param))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11916 (vhdl-comment-insert-inline (nth 5 param) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11917 (setq param-list (cdr param-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11918 (when param-list (insert "\n") (indent-to list-margin)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11919 (when (nth 3 vhdl-subprog-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11920 (insert "\n") (indent-to list-margin)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11921 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11922 (when (memq vhdl-include-group-comments (list kind 'always))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11923 (vhdl-paste-group-comment (nth 5 vhdl-subprog-list) list-margin))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11924 ;; paste return type
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11925 (insert "return " (nth 3 vhdl-subprog-list))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
11926 (if (eq kind 'decl) (insert ";") (vhdl-insert-keyword " is"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11927 (when (and vhdl-include-port-comments (nth 4 vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11928 (vhdl-comment-insert-inline (nth 4 vhdl-subprog-list) t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11929 ;; align parameter list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11930 (when vhdl-auto-align (vhdl-align-region-groups start (point) 1 t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11931 ;; paste body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11932 (when (eq kind 'body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11933 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11934 (vhdl-template-begin-end
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11935 (unless (vhdl-standard-p '87)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11936 (if (eq (nth 1 vhdl-subprog-list) 'procedure) "PROCEDURE" "FUNCTION"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11937 (nth 0 vhdl-subprog-list) margin))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11938
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11939 (defun vhdl-subprog-paste-declaration ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11940 "Paste as a subprogram declaration."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11941 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11942 (if (not vhdl-subprog-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11943 (error "ERROR: No subprogram interface read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11944 (message "Pasting interface as subprogram declaration \"%s\"..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11945 (car vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11946 ;; paste specification
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11947 (vhdl-subprog-paste-specification 'decl)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11948 (message "Pasting interface as subprogram declaration \"%s\"...done"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11949 (car vhdl-subprog-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11950
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11951 (defun vhdl-subprog-paste-body ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11952 "Paste as a subprogram body."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11953 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11954 (if (not vhdl-subprog-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11955 (error "ERROR: No subprogram interface read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11956 (message "Pasting interface as subprogram body \"%s\"..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11957 (car vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11958 ;; paste specification and body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11959 (vhdl-subprog-paste-specification 'body)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11960 (message "Pasting interface as subprogram body \"%s\"...done"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11961 (car vhdl-subprog-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11962
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11963 (defun vhdl-subprog-paste-call ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11964 "Paste as a subprogram call."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11965 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11966 (if (not vhdl-subprog-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11967 (error "ERROR: No subprogram interface read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11968 (let ((orig-vhdl-subprog-list vhdl-subprog-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11969 param-list margin list-margin param start)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11970 ;; flatten local copy of interface list (must be flat for parameter mapping)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11971 (vhdl-subprog-flatten)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11972 (setq param-list (nth 2 vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11973 (indent-according-to-mode)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11974 (setq margin (current-indentation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11975 (message "Pasting interface as subprogram call \"%s\"..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11976 (car vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11977 ;; paste name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11978 (insert (nth 0 vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11979 (if (not param-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11980 (insert ";")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11981 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11982 ;; paste parameter list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11983 (insert " (")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11984 (unless vhdl-argument-list-indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11985 (insert "\n") (indent-to (+ margin vhdl-basic-offset)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11986 (setq list-margin (current-column))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11987 (while param-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11988 (setq param (car param-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11989 ;; paste group comment and spacing
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11990 (when (eq vhdl-include-group-comments 'always)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11991 (vhdl-paste-group-comment (nth 6 param) list-margin))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11992 ;; paste formal port
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11993 (insert (car (nth 0 param)) " => ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11994 (setq param-list (cdr param-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11995 (insert (if param-list "," ");"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11996 ;; paste comment
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11997 (when (and vhdl-include-port-comments (nth 5 param))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11998 (vhdl-comment-insert-inline (nth 5 param)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
11999 (when param-list (insert "\n") (indent-to list-margin)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12000 ;; align parameter list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12001 (when vhdl-auto-align
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12002 (vhdl-align-region-groups start (point) 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12003 (message "Pasting interface as subprogram call \"%s\"...done"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12004 (car vhdl-subprog-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12005 (setq vhdl-subprog-list orig-vhdl-subprog-list))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12006
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12007
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12008 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12009 ;;; Miscellaneous
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12010 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12011
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12012 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12013 ;; Hippie expand customization
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12014
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12015 (defvar vhdl-expand-upper-case nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12016
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12017 (defun vhdl-try-expand-abbrev (old)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12018 "Try expanding abbreviations from `vhdl-abbrev-list'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12019 (unless old
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12020 (he-init-string (he-dabbrev-beg) (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12021 (setq he-expand-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12022 (let ((abbrev-list vhdl-abbrev-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12023 (sel-abbrev-list '()))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12024 (while abbrev-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12025 (when (or (not (stringp (car abbrev-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12026 (string-match
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12027 (concat "^" he-search-string) (car abbrev-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12028 (setq sel-abbrev-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12029 (cons (car abbrev-list) sel-abbrev-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12030 (setq abbrev-list (cdr abbrev-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12031 (nreverse sel-abbrev-list))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12032 (while (and he-expand-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12033 (or (not (stringp (car he-expand-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12034 (he-string-member (car he-expand-list) he-tried-table t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12035 ; (equal (car he-expand-list) he-search-string)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12036 (unless (stringp (car he-expand-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12037 (setq vhdl-expand-upper-case (car he-expand-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12038 (setq he-expand-list (cdr he-expand-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12039 (if (null he-expand-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12040 (progn (when old (he-reset-string))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12041 nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12042 (he-substitute-string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12043 (if vhdl-expand-upper-case
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12044 (upcase (car he-expand-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12045 (car he-expand-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12046 t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12047 (setq he-expand-list (cdr he-expand-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12048 t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12049
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12050 (defun vhdl-he-list-beg ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12051 "Also looks at the word before `(' in order to better match parenthesized
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12052 expressions (e.g. for index ranges of types and signals)."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12053 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12054 (condition-case ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12055 (progn (backward-up-list 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12056 (skip-syntax-backward "w_")) ; crashes in `viper-mode'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12057 (error ()))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12058 (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12059
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12060 ;; override `he-list-beg' from `hippie-exp'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12061 (unless (and (boundp 'viper-mode) viper-mode)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12062 (defalias 'he-list-beg 'vhdl-he-list-beg))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12063
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12064 ;; function for expanding abbrevs and dabbrevs
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12065 (defun vhdl-expand-abbrev (arg))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12066 (fset 'vhdl-expand-abbrev (make-hippie-expand-function
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12067 '(try-expand-dabbrev
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12068 try-expand-dabbrev-all-buffers
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12069 vhdl-try-expand-abbrev)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12070
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12071 ;; function for expanding parenthesis
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12072 (defun vhdl-expand-paren (arg))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12073 (fset 'vhdl-expand-paren (make-hippie-expand-function
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12074 '(try-expand-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12075 try-expand-list-all-buffers)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12076
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12077 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12078 ;; Case fixing
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12079
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12080 (defun vhdl-fix-case-region-1 (beg end upper-case word-regexp &optional count)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12081 "Convert all words matching word-regexp in region to lower or upper case,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12082 depending on parameter upper-case."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12083 (let ((case-replace nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12084 (last-update 0))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12085 (vhdl-prepare-search-2
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12086 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12087 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12088 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12089 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12090 (while (re-search-forward word-regexp end t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12091 (or (vhdl-in-literal)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12092 (if upper-case
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12093 (upcase-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12094 (downcase-word -1)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12095 (when (and count vhdl-progress-interval (not noninteractive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12096 (< vhdl-progress-interval
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12097 (- (nth 1 (current-time)) last-update)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12098 (message "Fixing case... (%2d%s)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12099 (+ (* count 25) (/ (* 25 (- (point) beg)) (- end beg)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12100 "%")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12101 (setq last-update (nth 1 (current-time)))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12102 (goto-char end)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12103
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12104 (defun vhdl-fix-case-region (beg end &optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12105 "Convert all VHDL words in region to lower or upper case, depending on
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12106 options vhdl-upper-case-{keywords,types,attributes,enum-values}."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12107 (interactive "r\nP")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12108 (vhdl-fix-case-region-1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12109 beg end vhdl-upper-case-keywords vhdl-keywords-regexp 0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12110 (vhdl-fix-case-region-1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12111 beg end vhdl-upper-case-types vhdl-types-regexp 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12112 (vhdl-fix-case-region-1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12113 beg end vhdl-upper-case-attributes (concat "'" vhdl-attributes-regexp) 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12114 (vhdl-fix-case-region-1
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12115 beg end vhdl-upper-case-enum-values vhdl-enum-values-regexp 3)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12116 (when vhdl-progress-interval (message "Fixing case...done")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12117
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12118 (defun vhdl-fix-case-buffer ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12119 "Convert all VHDL words in buffer to lower or upper case, depending on
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12120 options vhdl-upper-case-{keywords,types,attributes,enum-values}."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12121 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12122 (vhdl-fix-case-region (point-min) (point-max)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12123
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12124 (defun vhdl-fix-case-word (&optional arg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12125 "Convert word after cursor to upper case if necessary."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12126 (interactive "p")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12127 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12128 (when arg (backward-word 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12129 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12130 (when (and vhdl-upper-case-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12131 (looking-at vhdl-keywords-regexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12132 (upcase-word 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12133 (when (and vhdl-upper-case-types
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12134 (looking-at vhdl-types-regexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12135 (upcase-word 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12136 (when (and vhdl-upper-case-attributes
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12137 (looking-at vhdl-attributes-regexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12138 (upcase-word 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12139 (when (and vhdl-upper-case-enum-values
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12140 (looking-at vhdl-enum-values-regexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12141 (upcase-word 1)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12142
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12143 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12144 ;; Line handling functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12145
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12146 (defun vhdl-current-line ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12147 "Return the line number of the line containing point."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12148 (save-restriction
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12149 (widen)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12150 (save-excursion
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12151 (beginning-of-line)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12152 (1+ (count-lines 1 (point))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12153
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12154 (defun vhdl-line-kill-entire (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12155 "Delete entire line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12156 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12157 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12158 (kill-line (or arg 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12159
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12160 (defun vhdl-line-kill (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12161 "Kill current line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12162 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12163 (vhdl-line-kill-entire arg))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12164
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12165 (defun vhdl-line-copy (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12166 "Copy current line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12167 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12168 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12169 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12170 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12171 (forward-line (or arg 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12172 (copy-region-as-kill position (point)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12173
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12174 (defun vhdl-line-yank ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12175 "Yank entire line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12176 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12177 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12178 (yank))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12179
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12180 (defun vhdl-line-expand (&optional prefix-arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12181 "Hippie-expand current line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12182 (interactive "P")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12183 (let ((case-fold-search t) (case-replace nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12184 (hippie-expand-try-functions-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12185 '(try-expand-line try-expand-line-all-buffers)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12186 (hippie-expand prefix-arg)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12187
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12188 (defun vhdl-line-transpose-next (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12189 "Interchange this line with next line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12190 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12191 (forward-line 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12192 (transpose-lines (or arg 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12193 (forward-line -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12194
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12195 (defun vhdl-line-transpose-previous (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12196 "Interchange this line with previous line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12197 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12198 (forward-line 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12199 (transpose-lines (- 0 (or arg 0)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12200 (forward-line -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12201
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12202 (defun vhdl-line-open ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12203 "Open a new line and indent."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12204 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12205 (end-of-line -0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12206 (newline-and-indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12207
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12208 (defun vhdl-delete-indentation ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12209 "Join lines. That is, call `delete-indentation' with `fill-prefix' so that
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12210 it works within comments too."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12211 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12212 (let ((fill-prefix "-- "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12213 (delete-indentation)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12214
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12215 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12216 ;; Move functions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12217
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12218 (defun vhdl-forward-same-indent ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12219 "Move forward to next line with same indent."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12220 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12221 (let ((pos (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12222 (indent (current-indentation)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12223 (beginning-of-line 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12224 (while (and (not (eobp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12225 (or (looking-at "^\\s-*\\(--.*\\)?$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12226 (> (current-indentation) indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12227 (beginning-of-line 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12228 (if (= (current-indentation) indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12229 (back-to-indentation)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12230 (message "No following line with same indent found in this block")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12231 (goto-char pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12232 nil)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12233
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12234 (defun vhdl-backward-same-indent ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12235 "Move backward to previous line with same indent."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12236 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12237 (let ((pos (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12238 (indent (current-indentation)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12239 (beginning-of-line -0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12240 (while (and (not (bobp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12241 (or (looking-at "^\\s-*\\(--.*\\)?$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12242 (> (current-indentation) indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12243 (beginning-of-line -0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12244 (if (= (current-indentation) indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12245 (back-to-indentation)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12246 (message "No preceding line with same indent found in this block")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12247 (goto-char pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12248 nil)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12249
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12250 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12251 ;; Statistics
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12252
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12253 (defun vhdl-statistics-buffer ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12254 "Get some file statistics."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12255 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12256 (let ((no-stats 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12257 (no-code-lines 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12258 (no-lines (count-lines (point-min) (point-max))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12259 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12260 ;; count statements
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12261 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12262 (while (re-search-forward "\\(--.*\n\\|\"[^\"\n]*[\"\n]\\)\\|;" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12263 (if (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12264 (goto-char (match-end 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12265 (setq no-stats (1+ no-stats))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12266 ;; count code lines
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12267 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12268 (while (not (eobp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12269 (unless (looking-at "^\\s-*\\(--.*\\)?$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12270 (setq no-code-lines (1+ no-code-lines)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12271 (beginning-of-line 2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12272 ;; print results
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12273 (message "\n\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12274 File statistics: \"%s\"\n\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12275 ---------------------\n\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12276 # statements : %5d\n\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12277 # code lines : %5d\n\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12278 # total lines : %5d\n\ "
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12279 (buffer-file-name) no-stats no-code-lines no-lines)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12280 (unless vhdl-emacs-21 (vhdl-show-messages))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12281
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12282 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12283 ;; Help functions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12284
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12285 (defun vhdl-re-search-forward (regexp &optional bound noerror count)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12286 "Like `re-search-forward', but does not match within literals."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12287 (let (pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12288 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12289 (while (and (setq pos (re-search-forward regexp bound noerror count))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12290 (vhdl-in-literal))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12291 (when pos (goto-char pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12292 pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12293
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12294 (defun vhdl-re-search-backward (regexp &optional bound noerror count)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12295 "Like `re-search-backward', but does not match within literals."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12296 (let (pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12297 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12298 (while (and (setq pos (re-search-backward regexp bound noerror count))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12299 (vhdl-in-literal))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12300 (when pos (goto-char pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12301 pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12302
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12303
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12304 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12305 ;;; Project
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12306 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12307
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12308 (defun vhdl-set-project (name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12309 "Set current project to NAME."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12310 (interactive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12311 (list (let ((completion-ignore-case t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12312 (completing-read "Project name: " vhdl-project-alist nil t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12313 (cond ((equal name "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12314 (setq vhdl-project nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12315 (message "Current VHDL project: None"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12316 ((assoc name vhdl-project-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12317 (setq vhdl-project name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12318 (message "Current VHDL project: \"%s\"" name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12319 (t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12320 (vhdl-warning (format "Unknown VHDL project: \"%s\"" name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12321 (vhdl-speedbar-update-current-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12322
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12323 (defun vhdl-set-default-project ()
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12324 "Set current project as default on startup."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12325 (interactive)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12326 (customize-set-variable 'vhdl-project vhdl-project)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12327 (customize-save-customized))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12328
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12329 (defun vhdl-toggle-project (name token indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12330 "Set current project to NAME or unset if NAME is current project."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12331 (vhdl-set-project (if (equal name vhdl-project) "" name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12332
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12333 (defun vhdl-export-project (file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12334 "Write project setup for current project."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12335 (interactive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12336 (let ((name (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12337 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12338 (cons "\\(.*\\) \\(.*\\)" (car vhdl-project-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12339 (concat (subst-char-in-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12340 ? ?_ (or (vhdl-project-p)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12341 (error "ERROR: No current project")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12342 " " (user-login-name))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12343 (list (read-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12344 "Write project file: "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12345 (when (file-name-absolute-p name) "") nil nil name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12346 (setq file-name (abbreviate-file-name file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12347 (let ((orig-buffer (current-buffer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12348 (unless (file-exists-p (file-name-directory file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12349 (make-directory (file-name-directory file-name) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12350 (if (not (file-writable-p file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12351 (error "ERROR: File not writable: \"%s\"" file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12352 (set-buffer (find-file-noselect file-name t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12353 (erase-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12354 (insert ";; -*- Emacs-Lisp -*-\n\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12355 ";;; " (file-name-nondirectory file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12356 " - project setup file for Emacs VHDL Mode " vhdl-version "\n\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12357 ";; Project : " vhdl-project "\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12358 ";; Saved : " (format-time-string "%Y-%m-%d %T ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12359 (user-login-name) "\n\n\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12360 ";; project name\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12361 "(setq vhdl-project \"" vhdl-project "\")\n\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12362 ";; project setup\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12363 "(aput 'vhdl-project-alist vhdl-project\n'")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12364 (pp (aget vhdl-project-alist vhdl-project) (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12365 (insert ")\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12366 (save-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12367 (kill-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12368 (set-buffer orig-buffer))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12369
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12370 (defun vhdl-import-project (file-name &optional auto not-make-current)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12371 "Read project setup and set current project."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12372 (interactive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12373 (let ((name (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12374 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12375 (cons "\\(.*\\) \\(.*\\)" (car vhdl-project-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12376 (concat "" " " (user-login-name))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12377 (list (read-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12378 "Read project file: " (when (file-name-absolute-p name) "") nil t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12379 (file-name-directory name)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12380 (when (file-exists-p file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12381 (condition-case ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12382 (let ((current-project vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12383 (load-file file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12384 (when (/= (length (aget vhdl-project-alist vhdl-project t)) 10)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12385 (adelete 'vhdl-project-alist vhdl-project)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12386 (error ""))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12387 (when not-make-current
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12388 (setq vhdl-project current-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12389 (vhdl-update-mode-menu)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12390 (vhdl-speedbar-refresh)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12391 (unless not-make-current
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12392 (message "Current VHDL project: \"%s\"%s"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12393 vhdl-project (if auto " (auto-loaded)" ""))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12394 (error (vhdl-warning
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12395 (format "ERROR: Invalid project setup file: \"%s\"" file-name))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12396
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12397 (defun vhdl-duplicate-project ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12398 "Duplicate setup of current project."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12399 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12400 (let ((new-name (read-from-minibuffer "New project name: "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12401 (project-entry (aget vhdl-project-alist vhdl-project t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12402 (setq vhdl-project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12403 (append vhdl-project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12404 (list (cons new-name project-entry))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12405 (vhdl-update-mode-menu)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12406
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12407 (defun vhdl-auto-load-project ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12408 "Automatically load project setup at startup."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12409 (let ((file-name-list vhdl-project-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12410 file-list list-length)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12411 (while file-name-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12412 (setq file-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12413 (append file-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12414 (file-expand-wildcards
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12415 (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12416 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12417 (cons "\\(.*\\) \\(.*\\)" (car file-name-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12418 (concat "\*" " " (user-login-name)))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12419 (setq list-length (or list-length (length file-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12420 (setq file-name-list (cdr file-name-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12421 (while file-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12422 (vhdl-import-project (expand-file-name (car file-list)) t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12423 (not (> list-length 0)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12424 (setq list-length (1- list-length))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12425 (setq file-list (cdr file-list)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12426
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12427 ;; automatically load project setup when idle after startup
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12428 (when (memq 'startup vhdl-project-auto-load)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12429 (if noninteractive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12430 (vhdl-auto-load-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12431 (vhdl-run-when-idle .1 nil 'vhdl-auto-load-project)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12432
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12433
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12434 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12435 ;;; Hideshow
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12436 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12437 ;; (using `hideshow.el')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12438
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12439 (defconst vhdl-hs-start-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12440 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12441 "\\(^\\)\\s-*\\("
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12442 ;; generic/port clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12443 "\\(generic\\|port\\)[ \t\n]*(\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12444 ;; component
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12445 "component\\>\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12446 ;; component instantiation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12447 "\\(\\w\\|\\s_\\)+[ \t\n]*:[ \t\n]*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12448 "\\(\\(component\\|configuration\\|entity\\)[ \t\n]+\\)?"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12449 "\\(\\w\\|\\s_\\)+\\([ \t\n]*(\\(\\w\\|\\s_\\)+)\\)?[ \t\n]*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12450 "\\(generic\\|port\\)[ \t\n]+map[ \t\n]*(\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12451 ;; subprogram
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12452 "\\(function\\|procedure\\)\\>\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12453 ;; process, block
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12454 "\\(\\(\\w\\|\\s_\\)+[ \t\n]*:[ \t\n]*\\)?\\(process\\|block\\)\\>\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12455 ;; configuration declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12456 "configuration\\>"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12457 "\\)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12458 "Regexp to match start of construct to hide.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12459
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12460 (defun vhdl-hs-forward-sexp-func (count)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12461 "Find end of construct to hide (for hideshow). Only searches forward."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12462 (let ((pos (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12463 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12464 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12465 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12466 ;; generic/port clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12467 ((looking-at "^\\s-*\\(generic\\|port\\)[ \t\n]*(")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12468 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12469 (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12470 (forward-sexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12471 ;; component declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12472 ((looking-at "^\\s-*component\\>")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12473 (re-search-forward "^\\s-*end\\s-+component\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12474 ;; component instantiation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12475 ((looking-at
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12476 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12477 "^\\s-*\\w+\\s-*:[ \t\n]*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12478 "\\(\\(component\\|configuration\\|entity\\)[ \t\n]+\\)?"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12479 "\\w+\\(\\s-*(\\w+)\\)?[ \t\n]*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12480 "\\(generic\\|port\\)\\s-+map[ \t\n]*("))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12481 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12482 (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12483 (forward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12484 (setq pos (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12485 (vhdl-forward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12486 (when (looking-at "port\\s-+map[ \t\n]*(")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12487 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12488 (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12489 (forward-sexp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12490 (setq pos (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12491 (goto-char pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12492 ;; subprogram declaration/body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12493 ((looking-at "^\\s-*\\(function\\|procedure\\)\\s-+\\(\\w+\\|\".+\"\\)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12494 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12495 (vhdl-forward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12496 (when (looking-at "(")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12497 (forward-sexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12498 (while (and (re-search-forward "\\(;\\)\\|\\(\\<is\\>\\)" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12499 (vhdl-in-literal)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12500 ;; subprogram body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12501 (when (match-string 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12502 (re-search-forward "^\\s-*\\<begin\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12503 (backward-word 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12504 (vhdl-forward-sexp)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12505 ;; block (recursive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12506 ((looking-at "^\\s-*\\w+\\s-*:\\s-*block\\>")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12507 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12508 (while (and (re-search-forward "^\\s-*\\(\\(\\w+\\s-*:\\s-*block\\>\\)\\|\\(end\\s-+block\\>\\)\\)" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12509 (match-beginning 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12510 (vhdl-hs-forward-sexp-func count)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12511 ;; process
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12512 ((looking-at "^\\s-*\\(\\w+\\s-*:\\s-*\\)?process\\>")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12513 (re-search-forward "^\\s-*end\\s-+process\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12514 ;; configuration declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12515 ((looking-at "^\\s-*configuration\\>")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12516 (forward-word 4)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12517 (vhdl-forward-sexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12518 (t (goto-char pos))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12519
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12520 (defun vhdl-hideshow-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12521 "Initialize `hideshow'."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12522 (when vhdl-hideshow-menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12523 (vhdl-hs-minor-mode 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12524
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12525 (defun vhdl-hs-minor-mode (&optional arg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12526 "Toggle hideshow minor mode and update menu bar."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12527 (interactive "P")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12528 (require 'hideshow)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12529 ;; check for hideshow version 5.x
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12530 (if (not (boundp 'hs-block-start-mdata-select))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12531 (vhdl-warning-when-idle "Install included `hideshow.el' patch first (see INSTALL file)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12532 ;; initialize hideshow
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12533 (unless (assoc 'vhdl-mode hs-special-modes-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12534 (setq hs-special-modes-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12535 (cons (list 'vhdl-mode vhdl-hs-start-regexp nil "--\\( \\|$\\)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12536 'vhdl-hs-forward-sexp-func nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12537 hs-special-modes-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12538 (make-local-variable 'hs-minor-mode-hook)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12539 (if vhdl-hide-all-init
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12540 (add-hook 'hs-minor-mode-hook 'hs-hide-all)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12541 (remove-hook 'hs-minor-mode-hook 'hs-hide-all))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12542 (hs-minor-mode arg)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12543 (vhdl-mode-line-update))) ; hack to update menu bar
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12544
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12545
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12546 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12547 ;;; Font locking
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12548 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12549 ;; (using `font-lock.el')
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12550
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12551 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12552 ;; Help functions
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12553
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12554 (defun vhdl-within-translate-off ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12555 "Return point if within translate-off region, else nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12556 (and (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12557 (re-search-backward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12558 "^\\s-*--\\s-*pragma\\s-*translate_\\(on\\|off\\)\\s-*\n" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12559 (equal "off" (match-string 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12560 (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12561
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12562 (defun vhdl-start-translate-off (limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12563 "Return point before translate-off pragma if before LIMIT, else nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12564 (when (re-search-forward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12565 "^\\s-*--\\s-*pragma\\s-*translate_off\\s-*\n" limit t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12566 (match-beginning 0)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12567
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12568 (defun vhdl-end-translate-off (limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12569 "Return point after translate-on pragma if before LIMIT, else nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12570 (re-search-forward "^\\s-*--\\s-*pragma\\s-*translate_on\\s-*\n" limit t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12571
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12572 (defun vhdl-match-translate-off (limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12573 "Match a translate-off block, setting match-data and returning t, else nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12574 (when (< (point) limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12575 (let ((start (or (vhdl-within-translate-off)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12576 (vhdl-start-translate-off limit)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12577 (case-fold-search t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12578 (when start
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12579 (let ((end (or (vhdl-end-translate-off limit) limit)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12580 (set-match-data (list start end))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12581 (goto-char end))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12582
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12583 (defun vhdl-font-lock-match-item (limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12584 "Match, and move over, any declaration item after point. Adapted from
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12585 `font-lock-match-c-style-declaration-item-and-skip-to-next'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12586 (condition-case nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12587 (save-restriction
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12588 (narrow-to-region (point-min) limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12589 ;; match item
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12590 (when (looking-at "\\s-*\\([a-zA-Z]\\w*\\)")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12591 (save-match-data
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12592 (goto-char (match-end 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12593 ;; move to next item
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12594 (if (looking-at "\\(\\s-*,\\)")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12595 (goto-char (match-end 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12596 (end-of-line) t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12597 (error t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12598
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12599 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12600 ;; Syntax definitions
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12601
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12602 (defconst vhdl-font-lock-syntactic-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12603 '(("\\(\'\\).\\(\'\\)" (1 (7 . ?\')) (2 (7 . ?\'))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12604 "Mark single quotes as having string quote syntax in 'c' instances.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12605
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12606 (defvar vhdl-font-lock-keywords nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12607 "Regular expressions to highlight in VHDL Mode.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12608
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12609 (defvar vhdl-font-lock-keywords-0
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12610 ;; set in `vhdl-font-lock-init' because dependent on user options
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12611 "For consideration as a value of `vhdl-font-lock-keywords'.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12612 This does highlighting of template prompts and directives (pragmas).")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12613
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12614 (defvar vhdl-font-lock-keywords-1 nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12615 ;; set in `vhdl-font-lock-init' because dependent on user options
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12616 "For consideration as a value of `vhdl-font-lock-keywords'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12617 This does highlighting of keywords and standard identifiers.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12618
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12619 (defconst vhdl-font-lock-keywords-2
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12620 (list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12621 ;; highlight names of units, subprograms, and components when declared
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12622 (list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12623 (concat
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12624 "^\\s-*\\("
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12625 "architecture\\|configuration\\|entity\\|package\\(\\s-+body\\)?\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12626 "\\(\\(impure\\|pure\\)\\s-+\\)?function\\|procedure\\|component"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12627 "\\)\\s-+\\(\\w+\\)")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12628 5 'font-lock-function-name-face)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12629
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12630 ;; highlight entity names of architectures and configurations
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12631 (list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12632 "^\\s-*\\(architecture\\|configuration\\)\\s-+\\w+\\s-+of\\s-+\\(\\w+\\)"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12633 2 'font-lock-function-name-face)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12634
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12635 ;; highlight labels of common constructs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12636 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12637 (concat
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12638 "^\\s-*\\(\\w+\\)\\s-*:[ \t\n]*\\(\\("
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12639 "assert\\|block\\|case\\|exit\\|for\\|if\\|loop\\|next\\|null\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12640 "postponed\\|process\\|"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12641 (when (vhdl-standard-p 'ams) "procedural\\|")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12642 "with\\|while"
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12643 "\\)\\>\\|\\w+\\s-*\\(([^\n]*)\\|\\.\\w+\\)*\\s-*<=\\)")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12644 1 'font-lock-function-name-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12645
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12646 ;; highlight label and component name of component instantiations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12647 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12648 (concat
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12649 "^\\s-*\\(\\w+\\)\\s-*:[ \t\n]*\\(\\w+\\)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12650 "\\(\\s-*\\(--[^\n]*\\)?$\\|\\s-+\\(generic\\|port\\)\\s-+map\\>\\)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12651 '(1 font-lock-function-name-face) '(2 font-lock-function-name-face))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12652
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12653 ;; highlight label and instantiated unit of component instantiations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12654 (list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12655 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12656 "^\\s-*\\(\\w+\\)\\s-*:[ \t\n]*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12657 "\\(component\\|configuration\\|entity\\)\\s-+"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12658 "\\(\\w+\\)\\(\\.\\(\\w+\\)\\)?\\(\\s-*(\\(\\w+\\))\\)?")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12659 '(1 font-lock-function-name-face) '(3 font-lock-function-name-face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12660 '(5 font-lock-function-name-face nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12661 '(7 font-lock-function-name-face nil t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12662
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12663 ;; highlight names and labels at end of constructs
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12664 (list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12665 (concat
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12666 "^\\s-*end\\s-+\\(\\("
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12667 "architecture\\|block\\|case\\|component\\|configuration\\|entity\\|"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12668 "for\\|function\\|generate\\|if\\|loop\\|package\\(\\s-+body\\)?\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12669 "procedure\\|\\(postponed\\s-+\\)?process\\|"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12670 (when (vhdl-standard-p 'ams) "procedural\\|")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12671 "units"
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12672 "\\)\\s-+\\)?\\(\\w*\\)")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12673 5 'font-lock-function-name-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12674
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12675 ;; highlight labels in exit and next statements
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12676 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12677 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12678 "^\\s-*\\(\\w+\\s-*:\\s-*\\)?\\(exit\\|next\\)\\s-+\\(\\w*\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12679 3 'font-lock-function-name-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12680
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12681 ;; highlight entity name in attribute specifications
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12682 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12683 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12684 "^\\s-*attribute\\s-+\\w+\\s-+of\\s-+\\(\\w+\\(,\\s-*\\w+\\)*\\)\\s-*:")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12685 1 'font-lock-function-name-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12686
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12687 ;; highlight labels in block and component specifications
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12688 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12689 (concat
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12690 "^\\s-*for\\s-+\\(\\w+\\(,\\s-*\\w+\\)*\\)\\>\\s-*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12691 "\\(:[ \t\n]*\\(\\w+\\)\\|[^i \t]\\)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12692 '(1 font-lock-function-name-face) '(4 font-lock-function-name-face nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12693
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12694 ;; highlight names in library clauses
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12695 (list "^\\s-*library\\>"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12696 '(vhdl-font-lock-match-item nil nil (1 font-lock-function-name-face)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12697
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12698 ;; highlight names in use clauses
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12699 (list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12700 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12701 "\\<use\\s-+\\(\\(entity\\|configuration\\)\\s-+\\)?"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12702 "\\(\\w+\\)\\(\\.\\(\\w+\\)\\)?\\((\\(\\w+\\))\\)?")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12703 '(3 font-lock-function-name-face) '(5 font-lock-function-name-face nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12704 '(7 font-lock-function-name-face nil t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12705
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12706 ;; highlight attribute name in attribute declarations/specifications
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12707 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12708 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12709 "^\\s-*attribute\\s-+\\(\\w+\\)")
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12710 1 'vhdl-font-lock-attribute-face)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12711
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12712 ;; highlight type/nature name in (sub)type/(sub)nature declarations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12713 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12714 (concat
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12715 "^\\s-*\\(sub\\)?\\(nature\\|type\\)\\s-+\\(\\w+\\)")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12716 3 'font-lock-type-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12717
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12718 ;; highlight signal/variable/constant declaration names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12719 (list "\\(:[^=]\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12720 '(vhdl-font-lock-match-item
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12721 (progn (goto-char (match-beginning 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12722 (skip-syntax-backward " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12723 (skip-syntax-backward "w_")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12724 (skip-syntax-backward " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12725 (while (= (preceding-char) ?,)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12726 (backward-char 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12727 (skip-syntax-backward " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12728 (skip-syntax-backward "w_")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12729 (skip-syntax-backward " ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12730 ; (skip-chars-backward "^-(\n\";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12731 (goto-char (match-end 1)) (1 font-lock-variable-name-face)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12732
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12733 ;; highlight formal parameters in component instantiations and subprogram
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12734 ;; calls
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12735 (list "\\(=>\\)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12736 '(vhdl-font-lock-match-item
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12737 (progn (goto-char (match-beginning 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12738 (skip-syntax-backward " ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12739 (while (= (preceding-char) ?\)) (backward-sexp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12740 (skip-syntax-backward "w_")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12741 (skip-syntax-backward " ")
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12742 (when (memq (preceding-char) '(?n ?N ?|))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12743 (goto-char (point-max))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12744 (goto-char (match-end 1)) (1 font-lock-variable-name-face)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12745
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12746 ;; highlight alias/group/quantity declaration names and for-loop/-generate
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12747 ;; variables
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12748 (list "\\<\\(alias\\|for\\|group\\|quantity\\)\\s-+\\w+\\s-+\\(across\\|in\\|is\\)\\>"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12749 '(vhdl-font-lock-match-item
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12750 (progn (goto-char (match-end 1)) (match-beginning 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12751 nil (1 font-lock-variable-name-face)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12752 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12753 "For consideration as a value of `vhdl-font-lock-keywords'.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12754 This does context sensitive highlighting of names and labels.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12755
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12756 (defvar vhdl-font-lock-keywords-3 nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12757 ;; set in `vhdl-font-lock-init' because dependent on user options
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12758 "For consideration as a value of `vhdl-font-lock-keywords'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12759 This does highlighting of words with special syntax.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12760
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12761 (defvar vhdl-font-lock-keywords-4 nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12762 ;; set in `vhdl-font-lock-init' because dependent on user options
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12763 "For consideration as a value of `vhdl-font-lock-keywords'.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12764 This does highlighting of additional reserved words.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12765
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12766 (defconst vhdl-font-lock-keywords-5
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12767 ;; background highlight translate-off regions
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12768 '((vhdl-match-translate-off (0 vhdl-font-lock-translate-off-face append)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12769 "For consideration as a value of `vhdl-font-lock-keywords'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12770 This does background highlighting of translate-off regions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12771
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12772 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12773 ;; Font and color definitions
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12774
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12775 (defvar vhdl-font-lock-prompt-face 'vhdl-font-lock-prompt-face
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12776 "Face name to use for prompts.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12777
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12778 (defvar vhdl-font-lock-attribute-face 'vhdl-font-lock-attribute-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12779 "Face name to use for standardized attributes.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12780
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12781 (defvar vhdl-font-lock-enumvalue-face 'vhdl-font-lock-enumvalue-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12782 "Face name to use for standardized enumeration values.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12783
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12784 (defvar vhdl-font-lock-function-face 'vhdl-font-lock-function-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12785 "Face name to use for standardized functions and packages.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12786
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12787 (defvar vhdl-font-lock-directive-face 'vhdl-font-lock-directive-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12788 "Face name to use for directives.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12789
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12790 (defvar vhdl-font-lock-reserved-words-face 'vhdl-font-lock-reserved-words-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12791 "Face name to use for additional reserved words.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12792
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12793 (defvar vhdl-font-lock-translate-off-face 'vhdl-font-lock-translate-off-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12794 "Face name to use for translate-off regions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12795
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12796 ;; face names to use for words with special syntax.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12797 (let ((syntax-alist vhdl-special-syntax-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12798 name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12799 (while syntax-alist
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12800 (setq name (vhdl-function-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12801 "vhdl-font-lock" (nth 0 (car syntax-alist)) "face"))
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12802 (eval `(defvar ,name ',name
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12803 ,(concat "Face name to use for "
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12804 (nth 0 (car syntax-alist)) ".")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12805 (setq syntax-alist (cdr syntax-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12806
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12807 (defgroup vhdl-highlight-faces nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12808 "Faces for highlighting."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12809 :group 'vhdl-highlight)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12810
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12811 ;; add faces used from `font-lock'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12812 (custom-add-to-group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12813 'vhdl-highlight-faces 'font-lock-comment-face 'custom-face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12814 (custom-add-to-group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12815 'vhdl-highlight-faces 'font-lock-string-face 'custom-face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12816 (custom-add-to-group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12817 'vhdl-highlight-faces 'font-lock-keyword-face 'custom-face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12818 (custom-add-to-group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12819 'vhdl-highlight-faces 'font-lock-type-face 'custom-face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12820 (custom-add-to-group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12821 'vhdl-highlight-faces 'font-lock-function-name-face 'custom-face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12822 (custom-add-to-group
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12823 'vhdl-highlight-faces 'font-lock-variable-name-face 'custom-face)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12824
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12825 (defface vhdl-font-lock-prompt-face
65314
6c488c4c11c6 * term/xterm.el (terminal-init-xterm): Add eval-when-compile to
Dan Nicolaescu <dann@ics.uci.edu>
parents: 65302
diff changeset
12826 '((((min-colors 88) (class color) (background light))
61394
31aa9a390538 * mh-customize.el (mh-speedbar-selected-folder-face): Special case
Dan Nicolaescu <dann@ics.uci.edu>
parents: 60925
diff changeset
12827 (:foreground "Red1" :bold t))
65314
6c488c4c11c6 * term/xterm.el (terminal-init-xterm): Add eval-when-compile to
Dan Nicolaescu <dann@ics.uci.edu>
parents: 65302
diff changeset
12828 (((class color) (background light)) (:foreground "Red" :bold t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12829 (((class color) (background dark)) (:foreground "Pink" :bold t))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12830 (t (:inverse-video t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12831 "Font lock mode face used to highlight prompts."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12832 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12833 :group 'font-lock-highlighting-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12834
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12835 (defface vhdl-font-lock-attribute-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12836 '((((class color) (background light)) (:foreground "Orchid"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12837 (((class color) (background dark)) (:foreground "LightSteelBlue"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12838 (t (:italic t :bold t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12839 "Font lock mode face used to highlight standardized attributes."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12840 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12841 :group 'font-lock-highlighting-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12842
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12843 (defface vhdl-font-lock-enumvalue-face
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12844 '((((class color) (background light)) (:foreground "SaddleBrown"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12845 (((class color) (background dark)) (:foreground "BurlyWood"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12846 (t (:italic t :bold t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12847 "Font lock mode face used to highlight standardized enumeration values."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12848 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12849 :group 'font-lock-highlighting-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12850
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12851 (defface vhdl-font-lock-function-face
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12852 '((((class color) (background light)) (:foreground "Cyan4"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12853 (((class color) (background dark)) (:foreground "Orchid1"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12854 (t (:italic t :bold t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12855 "Font lock mode face used to highlight standardized functions and packages."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12856 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12857 :group 'font-lock-highlighting-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12858
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12859 (defface vhdl-font-lock-directive-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12860 '((((class color) (background light)) (:foreground "CadetBlue"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12861 (((class color) (background dark)) (:foreground "Aquamarine"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12862 (t (:italic t :bold t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12863 "Font lock mode face used to highlight directives."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12864 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12865 :group 'font-lock-highlighting-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12866
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12867 (defface vhdl-font-lock-reserved-words-face
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12868 '((((class color) (background light)) (:foreground "Orange" :bold t))
63428
252224857a7b (vhdl-speedbar-place-component): Follow error conventions.
Juanma Barranquero <lekktu@gmail.com>
parents: 62917
diff changeset
12869 (((min-colors 88) (class color) (background dark))
61394
31aa9a390538 * mh-customize.el (mh-speedbar-selected-folder-face): Special case
Dan Nicolaescu <dann@ics.uci.edu>
parents: 60925
diff changeset
12870 (:foreground "Yellow1" :bold t))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12871 (((class color) (background dark)) (:foreground "Yellow" :bold t))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12872 (t ()))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12873 "Font lock mode face used to highlight additional reserved words."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12874 :group 'vhdl-highlight-faces
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12875 :group 'font-lock-highlighting-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12876
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12877 (defface vhdl-font-lock-translate-off-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12878 '((((class color) (background light)) (:background "LightGray"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12879 (((class color) (background dark)) (:background "DimGray"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12880 (t ()))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12881 "Font lock mode face used to background highlight translate-off regions."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12882 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12883 :group 'font-lock-highlighting-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12884
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12885 ;; font lock mode faces used to highlight words with special syntax.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12886 (let ((syntax-alist vhdl-special-syntax-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12887 (while syntax-alist
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12888 (eval `(defface ,(vhdl-function-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12889 "vhdl-font-lock" (caar syntax-alist) "face")
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12890 '((((class color) (background light))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12891 (:foreground ,(nth 2 (car syntax-alist))))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12892 (((class color) (background dark))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12893 (:foreground ,(nth 3 (car syntax-alist))))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12894 (t ()))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12895 ,(concat "Font lock mode face used to highlight "
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12896 (nth 0 (car syntax-alist)) ".")
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12897 :group 'vhdl-highlight-faces
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
12898 :group 'font-lock-highlighting-faces))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12899 (setq syntax-alist (cdr syntax-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12900
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12901 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12902 ;; Font lock initialization
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12903
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12904 (defun vhdl-font-lock-init ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12905 "Initialize fontification."
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12906 ;; highlight template prompts and directives
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12907 (setq vhdl-font-lock-keywords-0
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12908 (list (list (concat "\\(^\\|[ \t(.']\\)\\(<"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12909 vhdl-template-prompt-syntax ">\\)")
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12910 2 'vhdl-font-lock-prompt-face t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12911 (list (concat "--\\s-*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12912 vhdl-directive-keywords-regexp "\\s-+\\(.*\\)$")
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12913 2 'vhdl-font-lock-directive-face t)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12914 ;; highlight c-preprocessor directives
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12915 (list "^#[ \t]*\\(\\w+\\)\\([ \t]+\\(\\w+\\)\\)?"
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12916 '(1 font-lock-builtin-face)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12917 '(3 font-lock-variable-name-face nil t))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12918 ;; highlight keywords and standardized types, attributes, enumeration
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12919 ;; values, and subprograms
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12920 (setq vhdl-font-lock-keywords-1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12921 (list
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12922 (list (concat "'" vhdl-attributes-regexp)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12923 1 'vhdl-font-lock-attribute-face)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12924 (list vhdl-types-regexp 1 'font-lock-type-face)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12925 (list vhdl-functions-regexp 1 'vhdl-font-lock-function-face)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12926 (list vhdl-packages-regexp 1 'vhdl-font-lock-function-face)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12927 (list vhdl-enum-values-regexp 1 'vhdl-font-lock-enumvalue-face)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12928 (list vhdl-keywords-regexp 1 'font-lock-keyword-face)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12929 ;; highlight words with special syntax.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12930 (setq vhdl-font-lock-keywords-3
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12931 (let ((syntax-alist vhdl-special-syntax-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12932 keywords)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12933 (while syntax-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12934 (setq keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12935 (cons
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12936 (cons (concat "\\<\\(" (nth 1 (car syntax-alist)) "\\)\\>")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12937 (vhdl-function-name
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12938 "vhdl-font-lock" (nth 0 (car syntax-alist)) "face"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12939 keywords))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12940 (setq syntax-alist (cdr syntax-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12941 keywords))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12942 ;; highlight additional reserved words
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12943 (setq vhdl-font-lock-keywords-4
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12944 (list (list vhdl-reserved-words-regexp 1
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12945 'vhdl-font-lock-reserved-words-face)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12946 ;; highlight everything together
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12947 (setq vhdl-font-lock-keywords
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12948 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12949 vhdl-font-lock-keywords-0
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12950 (when vhdl-highlight-keywords vhdl-font-lock-keywords-1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12951 (when (or vhdl-highlight-forbidden-words
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12952 vhdl-highlight-verilog-keywords) vhdl-font-lock-keywords-4)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12953 (when vhdl-highlight-special-words vhdl-font-lock-keywords-3)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12954 (when vhdl-highlight-names vhdl-font-lock-keywords-2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12955 (when vhdl-highlight-translate-off vhdl-font-lock-keywords-5))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12956
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12957 ;; initialize fontification for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12958 (vhdl-font-lock-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12959
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12960 (defun vhdl-fontify-buffer ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12961 "Re-initialize fontification and fontify buffer."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12962 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12963 (setq font-lock-defaults
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12964 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12965 'vhdl-font-lock-keywords nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12966 (not vhdl-highlight-case-sensitive) '((?\_ . "w")) 'beginning-of-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12967 '(font-lock-syntactic-keywords . vhdl-font-lock-syntactic-keywords)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12968 (when (fboundp 'font-lock-unset-defaults)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12969 (font-lock-unset-defaults)) ; not implemented in XEmacs
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12970 (font-lock-set-defaults)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12971 (font-lock-mode nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
12972 (font-lock-mode t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12973
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12974 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12975 ;; Initialization for postscript printing
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12976
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12977 (defun vhdl-ps-print-settings ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12978 "Initialize custom face and page settings for postscript printing."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12979 ;; define custom face settings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12980 (unless (or (not vhdl-print-customize-faces)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12981 ps-print-color-p)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12982 (set (make-local-variable 'ps-bold-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12983 '(font-lock-keyword-face
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12984 font-lock-type-face
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12985 vhdl-font-lock-attribute-face
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12986 vhdl-font-lock-enumvalue-face
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12987 vhdl-font-lock-directive-face))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12988 (set (make-local-variable 'ps-italic-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12989 '(font-lock-comment-face
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12990 font-lock-function-name-face
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12991 font-lock-type-face
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12992 vhdl-font-lock-attribute-face
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12993 vhdl-font-lock-enumvalue-face
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
12994 vhdl-font-lock-directive-face))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12995 (set (make-local-variable 'ps-underlined-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12996 '(font-lock-string-face))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12997 (setq ps-always-build-face-reference t))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12998 ;; define page settings, so that a line containing 79 characters (default)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
12999 ;; fits into one column
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13000 (when vhdl-print-two-column
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13001 (set (make-local-variable 'ps-landscape-mode) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13002 (set (make-local-variable 'ps-number-of-columns) 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13003 (set (make-local-variable 'ps-font-size) 7.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13004 (set (make-local-variable 'ps-header-title-font-size) 10.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13005 (set (make-local-variable 'ps-header-font-size) 9.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13006 (set (make-local-variable 'ps-header-offset) 12.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13007 (when (eq ps-paper-type 'letter)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13008 (set (make-local-variable 'ps-inter-column) 40.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13009 (set (make-local-variable 'ps-left-margin) 40.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13010 (set (make-local-variable 'ps-right-margin) 40.0))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13011
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13012 (defun vhdl-ps-print-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13013 "Initialize postscript printing."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13014 (if vhdl-xemacs
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13015 (when (boundp 'ps-print-color-p)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13016 (vhdl-ps-print-settings))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13017 (make-local-variable 'ps-print-hook)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13018 (add-hook 'ps-print-hook 'vhdl-ps-print-settings)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13019
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13020
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13021 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13022 ;;; Hierarchy browser (using `speedbar.el')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13023 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13024 ;; Allows displaying the hierarchy of all VHDL design units contained in a
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13025 ;; directory by using the speedbar.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13026
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13027 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13028 ;; Variables
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13029
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13030 (defvar vhdl-entity-alist nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13031 "Cache with entities and corresponding architectures for each
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13032 project/directory.")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13033 ;; structure: (parenthesised expression means list of such entries)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13034 ;; (cache-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13035 ;; (ent-key ent-name ent-file ent-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13036 ;; (arch-key arch-name arch-file arch-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13037 ;; (inst-key inst-name inst-file inst-line inst-comp-name inst-ent-key
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13038 ;; inst-arch-key inst-conf-key inst-lib-key inst-path)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13039 ;; (lib-name pack-key))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13040 ;; mra-key (lib-name pack-key))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13041
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13042 (defvar vhdl-config-alist nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13043 "Cache with configurations for each project/directory.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13044 ;; structure: (parenthesised expression means list of such entries)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13045 ;; (cache-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13046 ;; (conf-key conf-name conf-file conf-line ent-key arch-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13047 ;; (inst-key inst-comp-name inst-ent-key inst-arch-key
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13048 ;; inst-conf-key inst-lib-key)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13049 ;; (lib-name pack-key)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13050
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13051 (defvar vhdl-package-alist nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13052 "Cache with packages for each project/directory.")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13053 ;; structure: (parenthesised expression means list of such entries)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13054 ;; (cache-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13055 ;; (pack-key pack-name pack-file pack-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13056 ;; (comp-key comp-name comp-file comp-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13057 ;; (func-key func-name func-file func-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13058 ;; (lib-name pack-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13059 ;; pack-body-file pack-body-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13060 ;; (func-key func-name func-body-file func-body-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13061 ;; (lib-name pack-key)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13062
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13063 (defvar vhdl-ent-inst-alist nil
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13064 "Cache with instantiated entities for each project/directory.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13065 ;; structure: (parenthesised expression means list of such entries)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13066 ;; (cache-key (inst-ent-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13067
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13068 (defvar vhdl-file-alist nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13069 "Cache with design units in each file for each project/directory.")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13070 ;; structure: (parenthesised expression means list of such entries)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13071 ;; (cache-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13072 ;; (file-name (ent-list) (arch-list) (arch-ent-list) (conf-list)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13073 ;; (pack-list) (pack-body-list) (inst-list) (inst-ent-list))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13074
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13075 (defvar vhdl-directory-alist nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13076 "Cache with source directories for each project.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13077 ;; structure: (parenthesised expression means list of such entries)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13078 ;; (cache-key (directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13079
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13080 (defvar vhdl-speedbar-shown-unit-alist nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13081 "Alist of design units simultaneously open in the current speedbar for each
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13082 directory and project.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13083
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13084 (defvar vhdl-speedbar-shown-project-list nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13085 "List of projects simultaneously open in the current speedbar.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13086
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13087 (defvar vhdl-updated-project-list nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13088 "List of projects and directories with updated files.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13089
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13090 (defvar vhdl-modified-file-list nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13091 "List of modified files to be rescanned for hierarchy updating.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13092
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13093 (defvar vhdl-speedbar-hierarchy-depth 0
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13094 "Depth of instantiation hierarchy to display.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13095
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13096 (defvar vhdl-speedbar-show-projects nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13097 "Non-nil means project hierarchy is displayed in speedbar, directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13098 hierarchy otherwise.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13099
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13100 (defun vhdl-get-end-of-unit ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13101 "Return position of end of current unit."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13102 (let ((pos (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13103 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13104 (while (and (re-search-forward "^[ \t]*\\(architecture\\|configuration\\|entity\\|package\\)\\>" nil 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13105 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13106 (goto-char (match-beginning 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13107 (vhdl-backward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13108 (and (/= (preceding-char) ?\;) (not (bobp))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13109 (re-search-backward "^[ \t]*end\\>" pos 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13110 (point))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13111
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13112 (defun vhdl-match-string-downcase (num &optional string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13113 "Like `match-string-no-properties' with down-casing."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13114 (let ((match (match-string-no-properties num string)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13115 (and match (downcase match))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13116
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13117
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13118 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13119 ;; Scan functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13120
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13121 (defun vhdl-scan-context-clause ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13122 "Scan the context clause that preceeds a design unit."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13123 (let (lib-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13124 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13125 (when (re-search-backward "^[ \t]*\\(architecture\\|configuration\\|entity\\|package\\)\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13126 (while (and (re-search-backward "^[ \t]*\\(end\\|use\\)\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13127 (equal "USE" (upcase (match-string 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13128 (when (looking-at "^[ \t]*use[ \t\n]*\\(\\w+\\)\\.\\(\\w+\\)\\.\\w+")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13129 (setq lib-alist (cons (cons (match-string-no-properties 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13130 (vhdl-match-string-downcase 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13131 lib-alist))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13132 lib-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13133
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13134 (defun vhdl-scan-directory-contents (name &optional project update num-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13135 non-final)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13136 "Scan contents of VHDL files in directory or file pattern DIR-NAME."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13137 (string-match "\\(.*[/\\]\\)\\(.*\\)" name)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13138 ; (unless (file-directory-p (match-string 1 name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13139 ; (message "No such directory: \"%s\"" (match-string 1 name)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13140 (let* ((dir-name (match-string 1 name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13141 (file-pattern (match-string 2 name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13142 (is-directory (= 0 (length file-pattern)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13143 (file-list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13144 (if update
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13145 (list name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13146 (if is-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13147 (vhdl-get-source-files t dir-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13148 (vhdl-directory-files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13149 dir-name t (wildcard-to-regexp file-pattern)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13150 (key (or project dir-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13151 (file-exclude-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13152 (or (nth 3 (aget vhdl-project-alist project)) ""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13153 (limit-design-file-size (nth 0 vhdl-speedbar-scan-limit))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13154 (limit-hier-file-size (nth 0 (nth 1 vhdl-speedbar-scan-limit)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13155 (limit-hier-inst-no (nth 1 (nth 1 vhdl-speedbar-scan-limit)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13156 ent-alist conf-alist pack-alist ent-inst-list file-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13157 tmp-list tmp-entry no-files files-exist big-files)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13158 (when (or project update)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13159 (setq ent-alist (aget vhdl-entity-alist key t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13160 conf-alist (aget vhdl-config-alist key t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13161 pack-alist (aget vhdl-package-alist key t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13162 ent-inst-list (car (aget vhdl-ent-inst-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13163 file-alist (aget vhdl-file-alist key t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13164 (when (and (not is-directory) (null file-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13165 (message "No such file: \"%s\"" name))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13166 (setq files-exist file-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13167 (when file-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13168 (setq no-files (length file-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13169 (message "Scanning %s %s\"%s\"..."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13170 (if is-directory "directory" "files") (or num-string "") name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13171 ;; exclude files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13172 (unless (equal file-exclude-regexp "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13173 (let ((case-fold-search nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13174 file-tmp-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13175 (while file-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13176 (unless (string-match file-exclude-regexp (car file-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13177 (setq file-tmp-list (cons (car file-list) file-tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13178 (setq file-list (cdr file-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13179 (setq file-list (nreverse file-tmp-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13180 ;; do for all files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13181 (while file-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13182 (unless noninteractive
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13183 (message "Scanning %s %s\"%s\"... (%2d%s)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13184 (if is-directory "directory" "files")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13185 (or num-string "") name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13186 (/ (* 100 (- no-files (length file-list))) no-files) "%"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13187 (let ((file-name (abbreviate-file-name (car file-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13188 ent-list arch-list arch-ent-list conf-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13189 pack-list pack-body-list inst-list inst-ent-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13190 ;; scan file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13191 (vhdl-visit-file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13192 file-name nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13193 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13194 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13195 ;; scan for design units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13196 (if (and limit-design-file-size
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13197 (< limit-design-file-size (buffer-size)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13198 (progn (message "WARNING: Scan limit (design units: file size) reached in file:\n \"%s\"" file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13199 (setq big-files t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13200 ;; scan for entities
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13201 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13202 (while (re-search-forward "^[ \t]*entity[ \t\n]+\\(\\w+\\)[ \t\n]+is\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13203 (let* ((ent-name (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13204 (ent-key (downcase ent-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13205 (ent-entry (aget ent-alist ent-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13206 (lib-alist (vhdl-scan-context-clause)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13207 (if (nth 1 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13208 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13209 "Entity declared twice (used 1.): \"%s\"\n 1. in \"%s\" (line %d)\n 2. in \"%s\" (line %d)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13210 ent-name (nth 1 ent-entry) (nth 2 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13211 file-name (vhdl-current-line))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13212 (setq ent-list (cons ent-key ent-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13213 (aput 'ent-alist ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13214 (list ent-name file-name (vhdl-current-line)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13215 (nth 3 ent-entry) (nth 4 ent-entry)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13216 lib-alist)))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13217 ;; scan for architectures
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13218 (goto-char (point-min))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13219 (while (re-search-forward "^[ \t]*architecture[ \t\n]+\\(\\w+\\)[ \t\n]+of[ \t\n]+\\(\\w+\\)[ \t\n]+is\\>" nil t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13220 (let* ((arch-name (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13221 (arch-key (downcase arch-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13222 (ent-name (match-string-no-properties 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13223 (ent-key (downcase ent-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13224 (ent-entry (aget ent-alist ent-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13225 (arch-alist (nth 3 ent-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13226 (arch-entry (aget arch-alist arch-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13227 (lib-arch-alist (vhdl-scan-context-clause)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13228 (if arch-entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13229 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13230 "Architecture declared twice (used 1.): \"%s\" of \"%s\"\n 1. in \"%s\" (line %d)\n 2. in \"%s\" (line %d)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13231 arch-name ent-name (nth 1 arch-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13232 (nth 2 arch-entry) file-name (vhdl-current-line))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13233 (setq arch-list (cons arch-key arch-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13234 arch-ent-list (cons ent-key arch-ent-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13235 (aput 'arch-alist arch-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13236 (list arch-name file-name (vhdl-current-line) nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13237 lib-arch-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13238 (aput 'ent-alist ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13239 (list (or (nth 0 ent-entry) ent-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13240 (nth 1 ent-entry) (nth 2 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13241 (vhdl-sort-alist arch-alist)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13242 arch-key (nth 5 ent-entry))))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13243 ;; scan for configurations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13244 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13245 (while (re-search-forward "^[ \t]*configuration[ \t\n]+\\(\\w+\\)[ \t\n]+of[ \t\n]+\\(\\w+\\)[ \t\n]+is\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13246 (let* ((conf-name (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13247 (conf-key (downcase conf-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13248 (conf-entry (aget conf-alist conf-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13249 (ent-name (match-string-no-properties 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13250 (ent-key (downcase ent-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13251 (lib-alist (vhdl-scan-context-clause))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13252 (conf-line (vhdl-current-line))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13253 (end-of-unit (vhdl-get-end-of-unit))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13254 arch-key comp-conf-list inst-key-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13255 inst-comp-key inst-ent-key inst-arch-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13256 inst-conf-key inst-lib-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13257 (when (vhdl-re-search-forward "\\<for[ \t\n]+\\(\\w+\\)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13258 (setq arch-key (vhdl-match-string-downcase 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13259 (if conf-entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13260 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13261 "Configuration declared twice (used 1.): \"%s\" of \"%s\"\n 1. in \"%s\" (line %d)\n 2. in \"%s\" (line %d)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13262 conf-name ent-name (nth 1 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13263 (nth 2 conf-entry) file-name conf-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13264 (setq conf-list (cons conf-key conf-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13265 ;; scan for subconfigurations and subentities
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13266 (while (re-search-forward "^[ \t]*for[ \t\n]+\\(\\w+\\([ \t\n]*,[ \t\n]*\\w+\\)*\\)[ \t\n]*:[ \t\n]*\\(\\w+\\)[ \t\n]+" end-of-unit t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13267 (setq inst-comp-key (vhdl-match-string-downcase 3)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13268 inst-key-list (split-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13269 (vhdl-match-string-downcase 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13270 "[ \t\n]*,[ \t\n]*"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13271 (vhdl-forward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13272 (when (looking-at "use[ \t\n]+\\(\\(entity\\)\\|configuration\\)[ \t\n]+\\(\\w+\\)\\.\\(\\w+\\)[ \t\n]*\\((\\(\\w+\\))\\)?")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13273 (setq
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13274 inst-lib-key (vhdl-match-string-downcase 3)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13275 inst-ent-key (and (match-string 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13276 (vhdl-match-string-downcase 4))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13277 inst-arch-key (and (match-string 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13278 (vhdl-match-string-downcase 6))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13279 inst-conf-key (and (not (match-string 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13280 (vhdl-match-string-downcase 4)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13281 (while inst-key-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13282 (setq comp-conf-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13283 (cons (list (car inst-key-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13284 inst-comp-key inst-ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13285 inst-arch-key inst-conf-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13286 inst-lib-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13287 comp-conf-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13288 (setq inst-key-list (cdr inst-key-list)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13289 (aput 'conf-alist conf-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13290 (list conf-name file-name conf-line ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13291 arch-key comp-conf-list lib-alist)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13292 ;; scan for packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13293 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13294 (while (re-search-forward "^[ \t]*package[ \t\n]+\\(body[ \t\n]+\\)?\\(\\w+\\)[ \t\n]+is\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13295 (let* ((pack-name (match-string-no-properties 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13296 (pack-key (downcase pack-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13297 (is-body (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13298 (pack-entry (aget pack-alist pack-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13299 (pack-line (vhdl-current-line))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13300 (end-of-unit (vhdl-get-end-of-unit))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13301 comp-name func-name comp-alist func-alist lib-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13302 (if (if is-body (nth 6 pack-entry) (nth 1 pack-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13303 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13304 "Package%s declared twice (used 1.): \"%s\"\n 1. in \"%s\" (line %d)\n 2. in \"%s\" (line %d)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13305 (if is-body " body" "") pack-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13306 (if is-body (nth 6 pack-entry) (nth 1 pack-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13307 (if is-body (nth 7 pack-entry) (nth 2 pack-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13308 file-name (vhdl-current-line))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13309 ;; scan for context clauses
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13310 (setq lib-alist (vhdl-scan-context-clause))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13311 ;; scan for component and subprogram declarations/bodies
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13312 (while (re-search-forward "^[ \t]*\\(component\\|function\\|procedure\\)[ \t\n]+\\(\\w+\\|\".*\"\\)" end-of-unit t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13313 (if (equal (upcase (match-string 1)) "COMPONENT")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13314 (setq comp-name (match-string-no-properties 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13315 comp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13316 (cons (list (downcase comp-name) comp-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13317 file-name (vhdl-current-line))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13318 comp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13319 (setq func-name (match-string-no-properties 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13320 func-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13321 (cons (list (downcase func-name) func-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13322 file-name (vhdl-current-line))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13323 func-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13324 (setq func-alist (nreverse func-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13325 (setq comp-alist (nreverse comp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13326 (if is-body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13327 (setq pack-body-list (cons pack-key pack-body-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13328 (setq pack-list (cons pack-key pack-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13329 (aput
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13330 'pack-alist pack-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13331 (if is-body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13332 (list (or (nth 0 pack-entry) pack-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13333 (nth 1 pack-entry) (nth 2 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13334 (nth 3 pack-entry) (nth 4 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13335 (nth 5 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13336 file-name pack-line func-alist lib-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13337 (list pack-name file-name pack-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13338 comp-alist func-alist lib-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13339 (nth 6 pack-entry) (nth 7 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13340 (nth 8 pack-entry) (nth 9 pack-entry))))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13341 ;; scan for hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13342 (if (and limit-hier-file-size
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13343 (< limit-hier-file-size (buffer-size)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13344 (progn (message "WARNING: Scan limit (hierarchy: file size) reached in file:\n \"%s\"" file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13345 (setq big-files t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13346 ;; scan for architectures
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13347 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13348 (while (re-search-forward "^[ \t]*architecture[ \t\n]+\\(\\w+\\)[ \t\n]+of[ \t\n]+\\(\\w+\\)[ \t\n]+is\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13349 (let* ((ent-name (match-string-no-properties 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13350 (ent-key (downcase ent-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13351 (arch-name (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13352 (arch-key (downcase arch-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13353 (ent-entry (aget ent-alist ent-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13354 (arch-alist (nth 3 ent-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13355 (arch-entry (aget arch-alist arch-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13356 (beg-of-unit (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13357 (end-of-unit (vhdl-get-end-of-unit))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13358 (inst-no 0)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13359 inst-alist inst-path)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13360 ;; scan for contained instantiations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13361 (while (and (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13362 (concat "^[ \t]*\\(\\w+\\)[ \t\n]*:[ \t\n]*\\("
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13363 "\\(\\w+\\)[ \t\n]+\\(--[^\n]*\n[ \t\n]*\\)*\\(generic\\|port\\)[ \t\n]+map\\>\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13364 "component[ \t\n]+\\(\\w+\\)\\|"
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13365 "\\(\\(entity\\)\\|configuration\\)[ \t\n]+\\(\\(\\w+\\)\\.\\)?\\(\\w+\\)\\([ \t\n]*(\\(\\w+\\))\\)?\\|"
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13366 "\\(\\(for\\|if\\)\\>[^;:]+\\<generate\\>\\|block\\>\\)\\)\\|"
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13367 "\\(^[ \t]*end[ \t\n]+\\(generate\\|block\\)\\>\\)") end-of-unit t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13368 (or (not limit-hier-inst-no)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13369 (<= (setq inst-no (1+ inst-no))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13370 limit-hier-inst-no)))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13371 (cond
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13372 ;; block/generate beginning found
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13373 ((match-string 14)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13374 (setq inst-path
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13375 (cons (match-string-no-properties 1) inst-path)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13376 ;; block/generate end found
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13377 ((match-string 16)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13378 (setq inst-path (cdr inst-path)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13379 ;; instantiation found
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13380 (t
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13381 (let* ((inst-name (match-string-no-properties 1))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13382 (inst-key (downcase inst-name))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13383 (inst-comp-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13384 (or (match-string-no-properties 3)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13385 (match-string-no-properties 6)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13386 (inst-ent-key
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13387 (or (and (match-string 8)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13388 (vhdl-match-string-downcase 11))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13389 (and inst-comp-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13390 (downcase inst-comp-name))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13391 (inst-arch-key (vhdl-match-string-downcase 13))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13392 (inst-conf-key
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13393 (and (not (match-string 8))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13394 (vhdl-match-string-downcase 11)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13395 (inst-lib-key (vhdl-match-string-downcase 10)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13396 (goto-char (match-end 1))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13397 (setq inst-list (cons inst-key inst-list)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13398 inst-ent-list
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13399 (cons inst-ent-key inst-ent-list))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13400 (setq inst-alist
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13401 (append
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13402 inst-alist
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13403 (list (list inst-key inst-name file-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13404 (vhdl-current-line) inst-comp-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13405 inst-ent-key inst-arch-key
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13406 inst-conf-key inst-lib-key
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13407 (reverse inst-path)))))))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13408 ;; scan for contained configuration specifications
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13409 (goto-char beg-of-unit)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13410 (while (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13411 (concat "^[ \t]*for[ \t\n]+\\(\\w+\\([ \t\n]*,[ \t\n]*\\w+\\)*\\)[ \t\n]*:[ \t\n]*\\(\\w+\\)[ \t\n]+\\(--[^\n]*\n[ \t\n]*\\)*"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13412 "use[ \t\n]+\\(\\(entity\\)\\|configuration\\)[ \t\n]+\\(\\(\\w+\\)\\.\\)?\\(\\w+\\)\\([ \t\n]*(\\(\\w+\\))\\)?") end-of-unit t)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13413 (let* ((inst-comp-name (match-string-no-properties 3))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13414 (inst-ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13415 (and (match-string 6)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13416 (vhdl-match-string-downcase 9)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13417 (inst-arch-key (vhdl-match-string-downcase 11))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13418 (inst-conf-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13419 (and (not (match-string 6))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13420 (vhdl-match-string-downcase 9)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13421 (inst-lib-key (vhdl-match-string-downcase 8))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13422 (inst-key-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13423 (split-string (vhdl-match-string-downcase 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13424 "[ \t\n]*,[ \t\n]*"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13425 (tmp-inst-alist inst-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13426 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13427 (while tmp-inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13428 (when (and (or (equal "all" (car inst-key-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13429 (member (nth 0 (car tmp-inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13430 inst-key-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13431 (equal
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13432 (downcase
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13433 (or (nth 4 (car tmp-inst-alist)) ""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13434 (downcase inst-comp-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13435 (setq inst-entry (car tmp-inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13436 (setq inst-ent-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13437 (cons (or inst-ent-key (nth 5 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13438 (vhdl-delete
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13439 (nth 5 inst-entry) inst-ent-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13440 (setq inst-entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13441 (list (nth 0 inst-entry) (nth 1 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13442 (nth 2 inst-entry) (nth 3 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13443 (nth 4 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13444 (or inst-ent-key (nth 5 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13445 (or inst-arch-key (nth 6 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13446 inst-conf-key inst-lib-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13447 (setcar tmp-inst-alist inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13448 (setq tmp-inst-alist (cdr tmp-inst-alist)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13449 ;; save in cache
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13450 (aput 'arch-alist arch-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13451 (list (nth 0 arch-entry) (nth 1 arch-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13452 (nth 2 arch-entry) inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13453 (nth 4 arch-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13454 (aput 'ent-alist ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13455 (list (nth 0 ent-entry) (nth 1 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13456 (nth 2 ent-entry) (vhdl-sort-alist arch-alist)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13457 (nth 4 ent-entry) (nth 5 ent-entry)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13458 (when (and limit-hier-inst-no
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13459 (> inst-no limit-hier-inst-no))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13460 (message "WARNING: Scan limit (hierarchy: instances per architecture) reached in file:\n \"%s\"" file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13461 (setq big-files t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13462 (goto-char end-of-unit))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13463 ;; remember design units for this file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13464 (aput 'file-alist file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13465 (list ent-list arch-list arch-ent-list conf-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13466 pack-list pack-body-list inst-list inst-ent-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13467 (setq ent-inst-list (append inst-ent-list ent-inst-list))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13468 (setq file-list (cdr file-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13469 (when (or (and (not project) files-exist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13470 (and project (not non-final)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13471 ;; consistency checks:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13472 ;; check whether each architecture has a corresponding entity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13473 (setq tmp-list ent-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13474 (while tmp-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13475 (when (null (nth 2 (car tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13476 (setq tmp-entry (car (nth 4 (car tmp-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13477 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13478 "Architecture of non-existing entity: \"%s\" of \"%s\"\n in \"%s\" (line %d)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13479 (nth 1 tmp-entry) (nth 1 (car tmp-list)) (nth 2 tmp-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13480 (nth 3 tmp-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13481 (setq tmp-list (cdr tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13482 ;; check whether configuration has a corresponding entity/architecture
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13483 (setq tmp-list conf-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13484 (while tmp-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13485 (if (setq tmp-entry (aget ent-alist (nth 4 (car tmp-list)) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13486 (unless (aget (nth 3 tmp-entry) (nth 5 (car tmp-list)) t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13487 (setq tmp-entry (car tmp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13488 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13489 "Configuration of non-existing architecture: \"%s\" of \"%s(%s)\"\n in \"%s\" (line %d)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13490 (nth 1 tmp-entry) (nth 4 tmp-entry) (nth 5 tmp-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13491 (nth 2 tmp-entry) (nth 3 tmp-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13492 (setq tmp-entry (car tmp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13493 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13494 "Configuration of non-existing entity: \"%s\" of \"%s\"\n in \"%s\" (line %d)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13495 (nth 1 tmp-entry) (nth 4 tmp-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13496 (nth 2 tmp-entry) (nth 3 tmp-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13497 (setq tmp-list (cdr tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13498 ;; check whether each package body has a package declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13499 (setq tmp-list pack-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13500 (while tmp-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13501 (when (null (nth 2 (car tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13502 (setq tmp-entry (car tmp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13503 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13504 "Package body of non-existing package: \"%s\"\n in \"%s\" (line %d)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13505 (nth 1 tmp-entry) (nth 7 tmp-entry) (nth 8 tmp-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13506 (setq tmp-list (cdr tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13507 ;; sort lists
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13508 (setq ent-alist (vhdl-sort-alist ent-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13509 (setq conf-alist (vhdl-sort-alist conf-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13510 (setq pack-alist (vhdl-sort-alist pack-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13511 ;; remember updated directory/project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13512 (add-to-list 'vhdl-updated-project-list (or project dir-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13513 ;; clear directory alists
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13514 (unless project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13515 (adelete 'vhdl-entity-alist key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13516 (adelete 'vhdl-config-alist key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13517 (adelete 'vhdl-package-alist key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13518 (adelete 'vhdl-ent-inst-alist key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13519 (adelete 'vhdl-file-alist key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13520 ;; put directory contents into cache
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13521 (aput 'vhdl-entity-alist key ent-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13522 (aput 'vhdl-config-alist key conf-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13523 (aput 'vhdl-package-alist key pack-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13524 (aput 'vhdl-ent-inst-alist key (list ent-inst-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13525 (aput 'vhdl-file-alist key file-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13526 ;; final messages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13527 (message "Scanning %s %s\"%s\"...done"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13528 (if is-directory "directory" "files") (or num-string "") name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13529 (unless project (message "Scanning directory...done"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13530 (when big-files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13531 (vhdl-warning-when-idle "Scanning is incomplete.\n --> see user option `vhdl-speedbar-scan-limit'"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13532 ;; save cache when scanned non-interactively
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13533 (when (or (not project) (not non-final))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13534 (when (and noninteractive vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13535 (vhdl-save-cache key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13536 t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13537
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13538 (defun vhdl-scan-project-contents (project)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13539 "Scan the contents of all VHDL files found in the directories and files
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13540 of PROJECT."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13541 (let ((dir-list (or (nth 2 (aget vhdl-project-alist project)) '("")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13542 (default-dir (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13543 (nth 1 (aget vhdl-project-alist project))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13544 (file-exclude-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13545 (or (nth 3 (aget vhdl-project-alist project)) ""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13546 dir-list-tmp dir dir-name num-dir act-dir recursive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13547 ;; clear project alists
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13548 (adelete 'vhdl-entity-alist project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13549 (adelete 'vhdl-config-alist project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13550 (adelete 'vhdl-package-alist project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13551 (adelete 'vhdl-ent-inst-alist project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13552 (adelete 'vhdl-file-alist project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13553 ;; expand directory names by default-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13554 (message "Collecting source files...")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13555 (while dir-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13556 (setq dir (vhdl-resolve-env-variable (car dir-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13557 (string-match "\\(\\(-r \\)?\\)\\(.*\\)" dir)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13558 (setq recursive (match-string 1 dir)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13559 dir-name (match-string 3 dir))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13560 (setq dir-list-tmp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13561 (cons (concat recursive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13562 (if (file-name-absolute-p dir-name) "" default-dir)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13563 dir-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13564 dir-list-tmp))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13565 (setq dir-list (cdr dir-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13566 ;; resolve path wildcards
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13567 (setq dir-list-tmp (vhdl-resolve-paths dir-list-tmp))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13568 ;; expand directories
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13569 (while dir-list-tmp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13570 (setq dir (car dir-list-tmp))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13571 ;; get subdirectories
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13572 (if (string-match "-r \\(.*[/\\]\\)" dir)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13573 (setq dir-list (append dir-list (vhdl-get-subdirs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13574 (match-string 1 dir))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13575 (setq dir-list (append dir-list (list dir))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13576 (setq dir-list-tmp (cdr dir-list-tmp)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13577 ;; exclude files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13578 (unless (equal file-exclude-regexp "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13579 (let ((case-fold-search nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13580 (while dir-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13581 (unless (string-match file-exclude-regexp (car dir-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13582 (setq dir-list-tmp (cons (car dir-list) dir-list-tmp)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13583 (setq dir-list (cdr dir-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13584 (setq dir-list (nreverse dir-list-tmp))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13585 (message "Collecting source files...done")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13586 ;; scan for design units for each directory in DIR-LIST
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13587 (setq dir-list-tmp nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13588 num-dir (length dir-list)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13589 act-dir 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13590 (while dir-list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13591 (setq dir-name (abbreviate-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13592 (expand-file-name (car dir-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13593 (vhdl-scan-directory-contents dir-name project nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13594 (format "(%s/%s) " act-dir num-dir)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13595 (cdr dir-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13596 (add-to-list 'dir-list-tmp (file-name-directory dir-name))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13597 (setq dir-list (cdr dir-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13598 act-dir (1+ act-dir)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13599 (aput 'vhdl-directory-alist project (list (nreverse dir-list-tmp)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13600 (message "Scanning project \"%s\"...done" project)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13601
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13602 (defun vhdl-update-file-contents (file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13603 "Update hierarchy information by contents of current buffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13604 (setq file-name (abbreviate-file-name file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13605 (let* ((dir-name (file-name-directory file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13606 (directory-alist vhdl-directory-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13607 updated)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13608 (while directory-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13609 (when (member dir-name (nth 1 (car directory-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13610 (let* ((vhdl-project (nth 0 (car directory-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13611 (project (vhdl-project-p))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13612 (ent-alist (aget vhdl-entity-alist (or project dir-name) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13613 (conf-alist (aget vhdl-config-alist (or project dir-name) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13614 (pack-alist (aget vhdl-package-alist (or project dir-name) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13615 (ent-inst-list (car (aget vhdl-ent-inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13616 (or project dir-name) t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13617 (file-alist (aget vhdl-file-alist (or project dir-name) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13618 (file-entry (aget file-alist file-name t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13619 (ent-list (nth 0 file-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13620 (arch-list (nth 1 file-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13621 (arch-ent-list (nth 2 file-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13622 (conf-list (nth 3 file-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13623 (pack-list (nth 4 file-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13624 (pack-body-list (nth 5 file-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13625 (inst-ent-list (nth 7 file-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13626 (cache-key (or project dir-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13627 arch-alist key ent-key entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13628 ;; delete design units previously contained in this file:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13629 ;; entities
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13630 (while ent-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13631 (setq key (car ent-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13632 entry (aget ent-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13633 (when (equal file-name (nth 1 entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13634 (if (nth 3 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13635 (aput 'ent-alist key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13636 (list (nth 0 entry) nil nil (nth 3 entry) nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13637 (adelete 'ent-alist key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13638 (setq ent-list (cdr ent-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13639 ;; architectures
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13640 (while arch-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13641 (setq key (car arch-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13642 ent-key (car arch-ent-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13643 entry (aget ent-alist ent-key t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13644 arch-alist (nth 3 entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13645 (when (equal file-name (nth 1 (aget arch-alist key t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13646 (adelete 'arch-alist key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13647 (if (or (nth 1 entry) arch-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13648 (aput 'ent-alist ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13649 (list (nth 0 entry) (nth 1 entry) (nth 2 entry)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13650 arch-alist (nth 4 entry) (nth 5 entry)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13651 (adelete 'ent-alist ent-key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13652 (setq arch-list (cdr arch-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13653 arch-ent-list (cdr arch-ent-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13654 ;; configurations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13655 (while conf-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13656 (setq key (car conf-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13657 (when (equal file-name (nth 1 (aget conf-alist key t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13658 (adelete 'conf-alist key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13659 (setq conf-list (cdr conf-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13660 ;; package declarations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13661 (while pack-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13662 (setq key (car pack-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13663 entry (aget pack-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13664 (when (equal file-name (nth 1 entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13665 (if (nth 6 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13666 (aput 'pack-alist key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13667 (list (nth 0 entry) nil nil nil nil nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13668 (nth 6 entry) (nth 7 entry) (nth 8 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13669 (nth 9 entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13670 (adelete 'pack-alist key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13671 (setq pack-list (cdr pack-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13672 ;; package bodies
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13673 (while pack-body-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13674 (setq key (car pack-body-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13675 entry (aget pack-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13676 (when (equal file-name (nth 6 entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13677 (if (nth 1 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13678 (aput 'pack-alist key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13679 (list (nth 0 entry) (nth 1 entry) (nth 2 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13680 (nth 3 entry) (nth 4 entry) (nth 5 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13681 nil nil nil nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13682 (adelete 'pack-alist key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13683 (setq pack-body-list (cdr pack-body-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13684 ;; instantiated entities
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13685 (while inst-ent-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13686 (setq ent-inst-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13687 (vhdl-delete (car inst-ent-list) ent-inst-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13688 (setq inst-ent-list (cdr inst-ent-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13689 ;; update caches
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13690 (vhdl-aput 'vhdl-entity-alist cache-key ent-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13691 (vhdl-aput 'vhdl-config-alist cache-key conf-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13692 (vhdl-aput 'vhdl-package-alist cache-key pack-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13693 (vhdl-aput 'vhdl-ent-inst-alist cache-key (list ent-inst-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13694 ;; scan file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13695 (vhdl-scan-directory-contents file-name project t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13696 (when (or (and vhdl-speedbar-show-projects project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13697 (and (not vhdl-speedbar-show-projects) (not project)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13698 (vhdl-speedbar-refresh project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13699 (setq updated t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13700 (setq directory-alist (cdr directory-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13701 updated))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13702
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13703 (defun vhdl-update-hierarchy ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13704 "Update directory and hierarchy information in speedbar."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13705 (let ((file-list (reverse vhdl-modified-file-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13706 updated)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13707 (when (and vhdl-speedbar-update-on-saving file-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13708 (while file-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13709 (setq updated
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13710 (or (vhdl-update-file-contents (car file-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13711 updated))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13712 (setq file-list (cdr file-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13713 (setq vhdl-modified-file-list nil)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13714 (vhdl-speedbar-update-current-unit)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13715 (when updated (message "Updating hierarchy...done")))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13716
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13717 ;; structure (parenthesised expression means list of such entries)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13718 ;; (inst-key inst-file-marker comp-ent-key comp-ent-file-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13719 ;; comp-arch-key comp-arch-file-marker comp-conf-key comp-conf-file-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13720 ;; comp-lib-name level)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13721 (defun vhdl-get-hierarchy (ent-alist conf-alist ent-key arch-key conf-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13722 conf-inst-alist level indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13723 &optional include-top ent-hier)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13724 "Get instantiation hierarchy beginning in architecture ARCH-KEY of
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13725 entity ENT-KEY."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13726 (let* ((ent-entry (aget ent-alist ent-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13727 (arch-entry (if arch-key (aget (nth 3 ent-entry) arch-key t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13728 (cdar (last (nth 3 ent-entry)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13729 (inst-alist (nth 3 arch-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13730 inst-entry inst-ent-entry inst-arch-entry inst-conf-entry comp-entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13731 hier-list subcomp-list tmp-list inst-key inst-comp-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13732 inst-ent-key inst-arch-key inst-conf-key inst-lib-key)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13733 (when (= level 0) (message "Extract design hierarchy..."))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13734 (when include-top
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13735 (setq level (1+ level)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13736 (when (member ent-key ent-hier)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13737 (error "ERROR: Instantiation loop detected, component instantiates itself: \"%s\"" ent-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13738 ;; check configured architecture (already checked during scanning)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13739 ; (unless (or (null conf-inst-alist) (assoc arch-key (nth 3 ent-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13740 ; (vhdl-warning-when-idle "Configuration for non-existing architecture used: \"%s\"" conf-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13741 ;; process all instances
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13742 (while inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13743 (setq inst-entry (car inst-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13744 inst-key (nth 0 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13745 inst-comp-name (nth 4 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13746 inst-conf-key (nth 7 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13747 ;; search entry in configuration's instantiations list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13748 (setq tmp-list conf-inst-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13749 (while (and tmp-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13750 (not (and (member (nth 0 (car tmp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13751 (list "all" inst-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13752 (equal (nth 1 (car tmp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13753 (downcase (or inst-comp-name ""))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13754 (setq tmp-list (cdr tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13755 (setq inst-conf-key (or (nth 4 (car tmp-list)) inst-conf-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13756 (setq inst-conf-entry (aget conf-alist inst-conf-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13757 (when (and inst-conf-key (not inst-conf-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13758 (vhdl-warning-when-idle "Configuration not found: \"%s\"" inst-conf-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13759 ;; determine entity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13760 (setq inst-ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13761 (or (nth 2 (car tmp-list)) ; from configuration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13762 (nth 3 inst-conf-entry) ; from subconfiguration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13763 (nth 3 (aget conf-alist (nth 7 inst-entry) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13764 ; from configuration spec.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13765 (nth 5 inst-entry))) ; from direct instantiation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13766 (setq inst-ent-entry (aget ent-alist inst-ent-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13767 ;; determine architecture
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13768 (setq inst-arch-key
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13769 (or (nth 3 (car tmp-list)) ; from configuration
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13770 (nth 4 inst-conf-entry) ; from subconfiguration
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13771 (nth 6 inst-entry) ; from direct instantiation
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13772 (nth 4 (aget conf-alist (nth 7 inst-entry)))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13773 ; from configuration spec.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13774 (nth 4 inst-ent-entry) ; MRA
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13775 (caar (nth 3 inst-ent-entry)))) ; first alphabetically
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13776 (setq inst-arch-entry (aget (nth 3 inst-ent-entry) inst-arch-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13777 ;; set library
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13778 (setq inst-lib-key
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13779 (or (nth 5 (car tmp-list)) ; from configuration
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13780 (nth 8 inst-entry))) ; from direct instantiation
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13781 ;; gather information for this instance
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13782 (setq comp-entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13783 (list (nth 1 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13784 (cons (nth 2 inst-entry) (nth 3 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13785 (or (nth 0 inst-ent-entry) (nth 4 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13786 (cons (nth 1 inst-ent-entry) (nth 2 inst-ent-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13787 (or (nth 0 inst-arch-entry) inst-arch-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13788 (cons (nth 1 inst-arch-entry) (nth 2 inst-arch-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13789 (or (nth 0 inst-conf-entry) inst-conf-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13790 (cons (nth 1 inst-conf-entry) (nth 2 inst-conf-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13791 inst-lib-key level))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13792 ;; get subcomponent hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13793 (setq subcomp-list (vhdl-get-hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13794 ent-alist conf-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13795 inst-ent-key inst-arch-key inst-conf-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13796 (nth 5 inst-conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13797 (1+ level) indent nil (cons ent-key ent-hier)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13798 ;; add to list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13799 (setq hier-list (append hier-list (list comp-entry) subcomp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13800 (setq inst-alist (cdr inst-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13801 (when include-top
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13802 (setq hier-list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13803 (cons (list nil nil (nth 0 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13804 (cons (nth 1 ent-entry) (nth 2 ent-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13805 (nth 0 arch-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13806 (cons (nth 1 arch-entry) (nth 2 arch-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13807 nil nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13808 nil (1- level))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13809 hier-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13810 (when (or (= level 0) (and include-top (= level 1))) (message ""))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13811 hier-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13812
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13813 (defun vhdl-get-instantiations (ent-key indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13814 "Get all instantiations of entity ENT-KEY."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13815 (let ((ent-alist (aget vhdl-entity-alist (vhdl-speedbar-line-key indent) t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13816 arch-alist inst-alist ent-inst-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13817 ent-entry arch-entry inst-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13818 (while ent-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13819 (setq ent-entry (car ent-alist))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13820 (setq arch-alist (nth 4 ent-entry))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13821 (while arch-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13822 (setq arch-entry (car arch-alist))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13823 (setq inst-alist (nth 4 arch-entry))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13824 (while inst-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13825 (setq inst-entry (car inst-alist))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13826 (when (equal ent-key (nth 5 inst-entry))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13827 (setq ent-inst-list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13828 (cons (list (nth 1 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13829 (cons (nth 2 inst-entry) (nth 3 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13830 (nth 1 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13831 (cons (nth 2 ent-entry) (nth 3 ent-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13832 (nth 1 arch-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13833 (cons (nth 2 arch-entry) (nth 3 arch-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13834 ent-inst-list)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13835 (setq inst-alist (cdr inst-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13836 (setq arch-alist (cdr arch-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13837 (setq ent-alist (cdr ent-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13838 (nreverse ent-inst-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13839
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13840 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13841 ;; Caching in file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13842
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13843 (defun vhdl-save-caches ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13844 "Save all updated hierarchy caches to file."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13845 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13846 (condition-case nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13847 (when vhdl-speedbar-save-cache
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13848 ;; update hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13849 (vhdl-update-hierarchy)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13850 (let ((project-list vhdl-updated-project-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13851 (message "Saving hierarchy caches...")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13852 ;; write updated project caches
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13853 (while project-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13854 (vhdl-save-cache (car project-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13855 (setq project-list (cdr project-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13856 (message "Saving hierarchy caches...done")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13857 (error (progn (vhdl-warning "ERROR: An error occured while saving the hierarchy caches")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13858 (sit-for 2)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13859
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13860 (defun vhdl-save-cache (key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13861 "Save current hierarchy cache to file."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13862 (let* ((orig-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13863 (vhdl-project key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13864 (project (vhdl-project-p))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13865 (default-directory key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13866 (directory (abbreviate-file-name (vhdl-default-directory)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13867 (file-name (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13868 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13869 (cons "\\(.*\\) \\(.*\\)" vhdl-speedbar-cache-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13870 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13871 (subst-char-in-string ? ?_ (or project "dir"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13872 " " (user-login-name)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13873 (file-dir-name (expand-file-name file-name directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13874 (cache-key (or project directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13875 (key (if project "project" "directory")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13876 (unless (file-exists-p (file-name-directory file-dir-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13877 (make-directory (file-name-directory file-dir-name) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13878 (if (not (file-writable-p file-dir-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13879 (progn (vhdl-warning (format "File not writable: \"%s\""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13880 (abbreviate-file-name file-dir-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13881 (sit-for 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13882 (message "Saving cache: \"%s\"" file-dir-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13883 (set-buffer (find-file-noselect file-dir-name t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13884 (erase-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13885 (insert ";; -*- Emacs-Lisp -*-\n\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13886 ";;; " (file-name-nondirectory file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13887 " - design hierarchy cache file for Emacs VHDL Mode "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13888 vhdl-version "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13889 (insert "\n;; " (if project "Project " "Directory") " : ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13890 (if project (insert project) (prin1 directory (current-buffer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13891 (insert "\n;; Saved : " (format-time-string "%Y-%m-%d %T ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13892 (user-login-name) "\n\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13893 "\n;; version number\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13894 "(setq vhdl-cache-version \"" vhdl-version "\")\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13895 "\n;; " (if project "project" "directory") " name"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13896 "\n(setq " key " ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13897 (prin1 (or project directory) (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13898 (insert ")\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13899 (when (member 'hierarchy vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13900 (insert "\n;; entity and architecture cache\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13901 "(aput 'vhdl-entity-alist " key " '")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13902 (print (aget vhdl-entity-alist cache-key t) (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13903 (insert ")\n\n;; configuration cache\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13904 "(aput 'vhdl-config-alist " key " '")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13905 (print (aget vhdl-config-alist cache-key t) (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13906 (insert ")\n\n;; package cache\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13907 "(aput 'vhdl-package-alist " key " '")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13908 (print (aget vhdl-package-alist cache-key t) (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13909 (insert ")\n\n;; instantiated entities cache\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13910 "(aput 'vhdl-ent-inst-alist " key " '")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13911 (print (aget vhdl-ent-inst-alist cache-key t) (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13912 (insert ")\n\n;; design units per file cache\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13913 "(aput 'vhdl-file-alist " key " '")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13914 (print (aget vhdl-file-alist cache-key t) (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13915 (when project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13916 (insert ")\n\n;; source directories in project cache\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13917 "(aput 'vhdl-directory-alist " key " '")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13918 (print (aget vhdl-directory-alist cache-key t) (current-buffer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13919 (insert ")\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13920 (when (member 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13921 (insert "\n;; shown design units cache\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13922 "(aput 'vhdl-speedbar-shown-unit-alist " key " '")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13923 (print (aget vhdl-speedbar-shown-unit-alist cache-key t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13924 (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13925 (insert ")\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13926 (setq vhdl-updated-project-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13927 (delete cache-key vhdl-updated-project-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13928 (save-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13929 (kill-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13930 (set-buffer orig-buffer))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13931
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13932 (defun vhdl-load-cache (key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13933 "Load hierarchy cache information from file."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13934 (let* ((vhdl-project key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13935 (default-directory key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13936 (directory (vhdl-default-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13937 (file-name (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13938 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13939 (cons "\\(.*\\) \\(.*\\)" vhdl-speedbar-cache-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13940 (concat
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13941 (subst-char-in-string ? ?_ (or (vhdl-project-p) "dir"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13942 " " (user-login-name)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13943 (file-dir-name (expand-file-name file-name directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13944 vhdl-cache-version)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13945 (unless (memq 'vhdl-save-caches kill-emacs-hook)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13946 (add-hook 'kill-emacs-hook 'vhdl-save-caches))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13947 (when (file-exists-p file-dir-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13948 (condition-case ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13949 (progn (load-file file-dir-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13950 (string< (mapconcat
62402
a7e02ef1e3d6 Replace `string-to-int' by `string-to-number'.
Juanma Barranquero <lekktu@gmail.com>
parents: 61431
diff changeset
13951 (lambda (a) (format "%3d" (string-to-number a)))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
13952 (split-string "3.33" "\\.") "")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13953 (mapconcat
62402
a7e02ef1e3d6 Replace `string-to-int' by `string-to-number'.
Juanma Barranquero <lekktu@gmail.com>
parents: 61431
diff changeset
13954 (lambda (a) (format "%3d" (string-to-number a)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13955 (split-string vhdl-cache-version "\\.") "")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13956 (error (progn (vhdl-warning (format "ERROR: Corrupted cache file: \"%s\"" file-dir-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13957 nil))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13958
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13959 (defun vhdl-require-hierarchy-info ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13960 "Make sure that hierarchy information is available. Load cache or scan files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13961 if required."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13962 (if (vhdl-project-p)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13963 (unless (or (assoc vhdl-project vhdl-file-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13964 (vhdl-load-cache vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13965 (vhdl-scan-project-contents vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13966 (let ((directory (abbreviate-file-name default-directory)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13967 (unless (or (assoc directory vhdl-file-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13968 (vhdl-load-cache directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13969 (vhdl-scan-directory-contents directory)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13970
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13971 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13972 ;; Add hierarchy browser functionality to speedbar
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13973
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13974 (defvar vhdl-speedbar-key-map nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13975 "Keymap used when in the VHDL hierarchy browser mode.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13976
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13977 (defvar vhdl-speedbar-menu-items nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13978 "Additional menu-items to add to speedbar frame.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13979
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13980 (defun vhdl-speedbar-initialize ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13981 "Initialize speedbar."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13982 ;; general settings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13983 ; (set (make-local-variable 'speedbar-tag-hierarchy-method) nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13984 ;; VHDL file extensions (extracted from `auto-mode-alist')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13985 (let ((mode-alist auto-mode-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13986 (while mode-alist
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13987 (when (eq (cdar mode-alist) 'vhdl-mode)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13988 (speedbar-add-supported-extension (caar mode-alist)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13989 (setq mode-alist (cdr mode-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13990 ;; hierarchy browser settings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13991 (when (boundp 'speedbar-mode-functions-list)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13992 ;; special functions
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13993 (speedbar-add-mode-functions-list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13994 '("vhdl directory"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13995 (speedbar-item-info . vhdl-speedbar-item-info)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
13996 (speedbar-line-path . speedbar-files-line-path)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13997 (speedbar-add-mode-functions-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13998 '("vhdl project"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
13999 (speedbar-item-info . vhdl-speedbar-item-info)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14000 (speedbar-line-path . vhdl-speedbar-line-project)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14001 ;; keymap
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14002 (unless vhdl-speedbar-key-map
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14003 (setq vhdl-speedbar-key-map (speedbar-make-specialized-keymap))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14004 (define-key vhdl-speedbar-key-map "e" 'speedbar-edit-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14005 (define-key vhdl-speedbar-key-map "\C-m" 'speedbar-edit-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14006 (define-key vhdl-speedbar-key-map "+" 'speedbar-expand-line)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14007 (define-key vhdl-speedbar-key-map "=" 'speedbar-expand-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14008 (define-key vhdl-speedbar-key-map "-" 'vhdl-speedbar-contract-level)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14009 (define-key vhdl-speedbar-key-map "_" 'vhdl-speedbar-contract-all)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14010 (define-key vhdl-speedbar-key-map "C" 'vhdl-speedbar-port-copy)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14011 (define-key vhdl-speedbar-key-map "P" 'vhdl-speedbar-place-component)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14012 (define-key vhdl-speedbar-key-map "F" 'vhdl-speedbar-configuration)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14013 (define-key vhdl-speedbar-key-map "A" 'vhdl-speedbar-select-mra)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14014 (define-key vhdl-speedbar-key-map "K" 'vhdl-speedbar-make-design)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14015 (define-key vhdl-speedbar-key-map "R" 'vhdl-speedbar-rescan-hierarchy)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14016 (define-key vhdl-speedbar-key-map "S" 'vhdl-save-caches)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14017 (let ((key 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14018 (while (<= key 9)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14019 (define-key vhdl-speedbar-key-map (int-to-string key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14020 `(lambda () (interactive) (vhdl-speedbar-set-depth ,key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14021 (setq key (1+ key)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14022 (define-key speedbar-key-map "h"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14023 (lambda () (interactive)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14024 (speedbar-change-initial-expansion-list "vhdl directory")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14025 (define-key speedbar-key-map "H"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14026 (lambda () (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14027 (speedbar-change-initial-expansion-list "vhdl project")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14028 ;; menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14029 (unless vhdl-speedbar-menu-items
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14030 (setq
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14031 vhdl-speedbar-menu-items
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14032 `(["Edit" speedbar-edit-line t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14033 ["Expand" speedbar-expand-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14034 (save-excursion (beginning-of-line) (looking-at "[0-9]+: *.\\+. "))]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14035 ["Contract" vhdl-speedbar-contract-level t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14036 ["Expand All" vhdl-speedbar-expand-all t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14037 ["Contract All" vhdl-speedbar-contract-all t]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14038 ,(let ((key 0) (menu-list '("Hierarchy Depth")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14039 (while (<= key 9)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14040 (setq menu-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14041 (cons `[,(if (= key 0) "All" (int-to-string key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14042 (vhdl-speedbar-set-depth ,key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14043 :style radio
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14044 :selected (= vhdl-speedbar-hierarchy-depth ,key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14045 :keys ,(int-to-string key)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14046 menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14047 (setq key (1+ key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14048 (nreverse menu-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14049 "--"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14050 ["Copy Port/Subprogram" vhdl-speedbar-port-copy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14051 (or (vhdl-speedbar-check-unit 'entity)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14052 (vhdl-speedbar-check-unit 'subprogram))]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14053 ["Place Component" vhdl-speedbar-place-component
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14054 (vhdl-speedbar-check-unit 'entity)]
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14055 ["Generate Configuration" vhdl-speedbar-configuration
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14056 (vhdl-speedbar-check-unit 'architecture)]
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14057 ["Select as MRA" vhdl-speedbar-select-mra
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14058 (vhdl-speedbar-check-unit 'architecture)]
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14059 ["Make" vhdl-speedbar-make-design
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14060 (save-excursion (beginning-of-line) (looking-at "[0-9]+: *[[<]"))]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14061 ["Generate Makefile" vhdl-speedbar-generate-makefile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14062 (save-excursion (beginning-of-line) (looking-at "[0-9]+:"))]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14063 ["Rescan Directory" vhdl-speedbar-rescan-hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14064 :active (save-excursion (beginning-of-line) (looking-at "[0-9]+:"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14065 ,(if vhdl-xemacs :active :visible) (not vhdl-speedbar-show-projects)]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14066 ["Rescan Project" vhdl-speedbar-rescan-hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14067 :active (save-excursion (beginning-of-line) (looking-at "[0-9]+:"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14068 ,(if vhdl-xemacs :active :visible) vhdl-speedbar-show-projects]
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14069 ["Save Caches" vhdl-save-caches vhdl-updated-project-list])))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14070 ;; hook-ups
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14071 (speedbar-add-expansion-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14072 '("vhdl directory" vhdl-speedbar-menu-items vhdl-speedbar-key-map
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14073 vhdl-speedbar-display-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14074 (speedbar-add-expansion-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14075 '("vhdl project" vhdl-speedbar-menu-items vhdl-speedbar-key-map
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14076 vhdl-speedbar-display-projects))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14077 (setq speedbar-stealthy-function-list
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14078 (append
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14079 '(("vhdl directory" vhdl-speedbar-update-current-unit)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14080 ("vhdl project" vhdl-speedbar-update-current-project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14081 vhdl-speedbar-update-current-unit)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14082 ; ("files" (lambda () (setq speedbar-ignored-path-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14083 ; (speedbar-extension-list-to-regex
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14084 ; speedbar-ignored-path-expressions))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14085 )
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14086 speedbar-stealthy-function-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14087 (when (eq vhdl-speedbar-display-mode 'directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14088 (setq speedbar-initial-expansion-list-name "vhdl directory"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14089 (when (eq vhdl-speedbar-display-mode 'project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14090 (setq speedbar-initial-expansion-list-name "vhdl project"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14091 (add-hook 'speedbar-timer-hook 'vhdl-update-hierarchy)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14092
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14093 (defun vhdl-speedbar (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14094 "Open/close speedbar."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14095 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14096 (if (not (fboundp 'speedbar))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14097 (error "WARNING: Speedbar is not available or not installed")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14098 (condition-case ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14099 (speedbar-frame-mode arg)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14100 (error (error "WARNING: An error occurred while opening speedbar")))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14101
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14102 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14103 ;; Display functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14104
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14105 (defvar vhdl-speedbar-last-selected-project nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14106 "Name of last selected project.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14107
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14108 ;; macros must be defined in the file they are used (copied from `speedbar.el')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14109 (defmacro speedbar-with-writable (&rest forms)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14110 "Allow the buffer to be writable and evaluate FORMS."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14111 (list 'let '((inhibit-read-only t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14112 (cons 'progn forms)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14113 (put 'speedbar-with-writable 'lisp-indent-function 0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14114
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14115 (defun vhdl-speedbar-display-directory (directory depth &optional rescan)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14116 "Display directory and hierarchy information in speedbar."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14117 (setq vhdl-speedbar-show-projects nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14118 (setq speedbar-ignored-path-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14119 (speedbar-extension-list-to-regex speedbar-ignored-path-expressions))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14120 (setq directory (abbreviate-file-name (file-name-as-directory directory)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14121 (setq speedbar-last-selected-file nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14122 (speedbar-with-writable
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14123 (condition-case nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14124 (progn
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14125 ;; insert directory path
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14126 (speedbar-directory-buttons directory depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14127 ;; insert subdirectories
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14128 (vhdl-speedbar-insert-dirs (speedbar-file-lists directory) depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14129 ;; scan and insert hierarchy of current directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14130 (vhdl-speedbar-insert-dir-hierarchy directory depth
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14131 speedbar-power-click)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14132 ;; expand subdirectories
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14133 (when (= depth 0) (vhdl-speedbar-expand-dirs directory)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14134 (error (vhdl-warning-when-idle "ERROR: Invalid hierarchy information, unable to display correctly")))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14135
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14136 (defun vhdl-speedbar-display-projects (project depth &optional rescan)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14137 "Display projects and hierarchy information in speedbar."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14138 (setq vhdl-speedbar-show-projects t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14139 (setq speedbar-ignored-path-regexp ".")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14140 (setq speedbar-last-selected-file nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14141 (setq vhdl-speedbar-last-selected-project nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14142 (speedbar-with-writable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14143 (condition-case nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14144 ;; insert projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14145 (vhdl-speedbar-insert-projects)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14146 (error (vhdl-warning-when-idle "ERROR: Invalid hierarchy information, unable to display correctly"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14147 (setq speedbar-full-text-cache nil)) ; prevent caching
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14148
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14149 (defun vhdl-speedbar-insert-projects ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14150 "Insert all projects in speedbar."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14151 (vhdl-speedbar-make-title-line "Projects:")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14152 (let ((project-alist (if vhdl-project-sort
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14153 (vhdl-sort-alist (copy-alist vhdl-project-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14154 vhdl-project-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14155 (vhdl-speedbar-update-current-unit nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14156 ;; insert projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14157 (while project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14158 (speedbar-make-tag-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14159 'angle ?+ 'vhdl-speedbar-expand-project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14160 (caar project-alist) (caar project-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14161 'vhdl-toggle-project (caar project-alist) 'speedbar-directory-face 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14162 (setq project-alist (cdr project-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14163 (setq project-alist vhdl-project-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14164 ;; expand projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14165 (while project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14166 (when (member (caar project-alist) vhdl-speedbar-shown-project-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14167 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14168 (when (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14169 (concat "^\\([0-9]+:\\s-*<\\)[+]>\\s-+" (caar project-alist) "$") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14170 (goto-char (match-end 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14171 (speedbar-do-function-pointer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14172 (setq project-alist (cdr project-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14173 ; (vhdl-speedbar-update-current-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14174 ; (vhdl-speedbar-update-current-unit nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14175 )
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14176
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14177 (defun vhdl-speedbar-insert-project-hierarchy (project indent &optional rescan)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14178 "Insert hierarchy of project. Rescan directories if RESCAN is non-nil,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14179 otherwise use cached data."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14180 (when (or rescan (and (not (assoc project vhdl-file-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14181 (not (vhdl-load-cache project))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14182 (vhdl-scan-project-contents project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14183 ;; insert design hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14184 (vhdl-speedbar-insert-hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14185 (aget vhdl-entity-alist project t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14186 (aget vhdl-config-alist project t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14187 (aget vhdl-package-alist project t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14188 (car (aget vhdl-ent-inst-alist project t)) indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14189 (insert (int-to-string indent) ":\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14190 (put-text-property (- (point) 3) (1- (point)) 'invisible t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14191 (put-text-property (1- (point)) (point) 'invisible nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14192 ;; expand design units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14193 (vhdl-speedbar-expand-units project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14194
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14195 (defun vhdl-speedbar-insert-dir-hierarchy (directory depth &optional rescan)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14196 "Insert hierarchy of DIRECTORY. Rescan directory if RESCAN is non-nil,
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14197 otherwise use cached data."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14198 (when (or rescan (and (not (assoc directory vhdl-file-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14199 (not (vhdl-load-cache directory))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14200 (vhdl-scan-directory-contents directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14201 ;; insert design hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14202 (vhdl-speedbar-insert-hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14203 (aget vhdl-entity-alist directory t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14204 (aget vhdl-config-alist directory t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14205 (aget vhdl-package-alist directory t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14206 (car (aget vhdl-ent-inst-alist directory t)) depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14207 ;; expand design units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14208 (vhdl-speedbar-expand-units directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14209 (aput 'vhdl-directory-alist directory (list (list directory))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14210
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14211 (defun vhdl-speedbar-insert-hierarchy (ent-alist conf-alist pack-alist
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14212 ent-inst-list depth)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14213 "Insert hierarchy of ENT-ALIST, CONF-ALIST, and PACK-ALIST."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14214 (if (not (or ent-alist conf-alist pack-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14215 (vhdl-speedbar-make-title-line "No VHDL design units!" depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14216 (let (ent-entry conf-entry pack-entry)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14217 ;; insert entities
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14218 (when ent-alist (vhdl-speedbar-make-title-line "Entities:" depth))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14219 (while ent-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14220 (setq ent-entry (car ent-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14221 (speedbar-make-tag-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14222 'bracket ?+ 'vhdl-speedbar-expand-entity (nth 0 ent-entry)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14223 (nth 1 ent-entry) 'vhdl-speedbar-find-file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14224 (cons (nth 2 ent-entry) (nth 3 ent-entry))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14225 'vhdl-speedbar-entity-face depth)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14226 (unless (nth 2 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14227 (end-of-line 0) (insert "!") (forward-char 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14228 (unless (member (nth 0 ent-entry) ent-inst-list)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14229 (end-of-line 0) (insert " (top)") (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14230 (setq ent-alist (cdr ent-alist)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14231 ;; insert configurations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14232 (when conf-alist (vhdl-speedbar-make-title-line "Configurations:" depth))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14233 (while conf-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14234 (setq conf-entry (car conf-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14235 (speedbar-make-tag-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14236 'bracket ?+ 'vhdl-speedbar-expand-config (nth 0 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14237 (nth 1 conf-entry) 'vhdl-speedbar-find-file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14238 (cons (nth 2 conf-entry) (nth 3 conf-entry))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14239 'vhdl-speedbar-configuration-face depth)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14240 (setq conf-alist (cdr conf-alist)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14241 ;; insert packages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14242 (when pack-alist (vhdl-speedbar-make-title-line "Packages:" depth))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14243 (while pack-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14244 (setq pack-entry (car pack-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14245 (vhdl-speedbar-make-pack-line
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14246 (nth 0 pack-entry) (nth 1 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14247 (cons (nth 2 pack-entry) (nth 3 pack-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14248 (cons (nth 7 pack-entry) (nth 8 pack-entry))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14249 depth)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14250 (setq pack-alist (cdr pack-alist))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14251
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14252 (defun vhdl-speedbar-rescan-hierarchy ()
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14253 "Rescan hierarchy for the directory or project under the cursor."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14254 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14255 (let (key path)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14256 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14257 ;; current project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14258 (vhdl-speedbar-show-projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14259 (setq key (vhdl-speedbar-line-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14260 (vhdl-scan-project-contents key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14261 ;; top-level directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14262 ((save-excursion (beginning-of-line) (looking-at "[^0-9]"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14263 (re-search-forward "[0-9]+:" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14264 (vhdl-scan-directory-contents
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14265 (abbreviate-file-name (speedbar-line-path))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14266 ;; current directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14267 (t (setq path (speedbar-line-path))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14268 (string-match "^\\(.+[/\\]\\)" path)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14269 (vhdl-scan-directory-contents
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14270 (abbreviate-file-name (match-string 1 path)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14271 (vhdl-speedbar-refresh key)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14272
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14273 (defun vhdl-speedbar-expand-dirs (directory)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14274 "Expand subdirectories in DIRECTORY according to
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14275 `speedbar-shown-directories'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14276 ;; (nicked from `speedbar-default-directory-list')
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14277 (let ((sf (cdr (reverse speedbar-shown-directories)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14278 (vhdl-speedbar-update-current-unit nil))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14279 (setq speedbar-shown-directories
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14280 (list (expand-file-name default-directory)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14281 (while sf
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14282 (when (speedbar-goto-this-file (car sf))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14283 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14284 (when (looking-at "[0-9]+:\\s-*<")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14285 (goto-char (match-end 0))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14286 (speedbar-do-function-pointer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14287 (setq sf (cdr sf))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14288 (vhdl-speedbar-update-current-unit nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14289
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14290 (defun vhdl-speedbar-expand-units (key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14291 "Expand design units in directory/project KEY according to
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14292 `vhdl-speedbar-shown-unit-alist'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14293 (let ((unit-alist (aget vhdl-speedbar-shown-unit-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14294 (vhdl-speedbar-update-current-unit nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14295 vhdl-updated-project-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14296 (adelete 'vhdl-speedbar-shown-unit-alist key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14297 (vhdl-prepare-search-1
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14298 (while unit-alist ; expand units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14299 (vhdl-speedbar-goto-this-unit key (caar unit-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14300 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14301 (let ((arch-alist (nth 1 (car unit-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14302 position)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14303 (when (looking-at "^[0-9]+:\\s-*\\[")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14304 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14305 (setq position (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14306 (speedbar-do-function-pointer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14307 (select-frame speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14308 (while arch-alist ; expand architectures
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14309 (goto-char position)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14310 (when (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14311 (concat "^[0-9]+:\\s-*\\(\\[\\|{.}\\s-+"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14312 (car arch-alist) "\\>\\)") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14313 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14314 (when (looking-at "^[0-9]+:\\s-*{")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14315 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14316 (speedbar-do-function-pointer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14317 (select-frame speedbar-frame)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14318 (setq arch-alist (cdr arch-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14319 (setq unit-alist (cdr unit-alist))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14320 (vhdl-speedbar-update-current-unit nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14321
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14322 (defun vhdl-speedbar-contract-level ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14323 "Contract current level in current directory/project."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14324 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14325 (when (or (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14326 (beginning-of-line) (looking-at "^[0-9]:\\s-*[[{<]-"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14327 (and (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14328 (beginning-of-line) (looking-at "^\\([0-9]+\\):"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14329 (re-search-backward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14330 (format "^[0-%d]:\\s-*[[{<]-"
62402
a7e02ef1e3d6 Replace `string-to-int' by `string-to-number'.
Juanma Barranquero <lekktu@gmail.com>
parents: 61431
diff changeset
14331 (max (1- (string-to-number (match-string 1))) 0)) nil t)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14332 (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14333 (speedbar-do-function-pointer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14334 (speedbar-center-buffer-smartly)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14335
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14336 (defun vhdl-speedbar-contract-all ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14337 "Contract all expanded design units in current directory/project."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14338 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14339 (if (and vhdl-speedbar-show-projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14340 (save-excursion (beginning-of-line) (looking-at "^0:")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14341 (progn (setq vhdl-speedbar-shown-project-list nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14342 (vhdl-speedbar-refresh))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14343 (let ((key (vhdl-speedbar-line-key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14344 (adelete 'vhdl-speedbar-shown-unit-alist key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14345 (vhdl-speedbar-refresh (and vhdl-speedbar-show-projects key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14346 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14347 (add-to-list 'vhdl-updated-project-list key)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14348
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14349 (defun vhdl-speedbar-expand-all ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14350 "Expand all design units in current directory/project."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14351 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14352 (let* ((key (vhdl-speedbar-line-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14353 (ent-alist (aget vhdl-entity-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14354 (conf-alist (aget vhdl-config-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14355 (pack-alist (aget vhdl-package-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14356 arch-alist unit-alist subunit-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14357 (add-to-list 'vhdl-speedbar-shown-project-list key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14358 (while ent-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14359 (setq arch-alist (nth 4 (car ent-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14360 (setq subunit-alist nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14361 (while arch-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14362 (setq subunit-alist (cons (caar arch-alist) subunit-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14363 (setq arch-alist (cdr arch-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14364 (setq unit-alist (cons (list (caar ent-alist) subunit-alist) unit-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14365 (setq ent-alist (cdr ent-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14366 (while conf-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14367 (setq unit-alist (cons (list (caar conf-alist)) unit-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14368 (setq conf-alist (cdr conf-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14369 (while pack-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14370 (setq unit-alist (cons (list (caar pack-alist)) unit-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14371 (setq pack-alist (cdr pack-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14372 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14373 (vhdl-speedbar-refresh)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14374 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14375 (add-to-list 'vhdl-updated-project-list key))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14376
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14377 (defun vhdl-speedbar-expand-project (text token indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14378 "Expand/contract the project under the cursor."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14379 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14380 ((string-match "+" text) ; expand project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14381 (speedbar-change-expand-button-char ?-)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14382 (unless (member token vhdl-speedbar-shown-project-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14383 (setq vhdl-speedbar-shown-project-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14384 (cons token vhdl-speedbar-shown-project-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14385 (speedbar-with-writable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14386 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14387 (end-of-line) (forward-char 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14388 (vhdl-speedbar-insert-project-hierarchy token (1+ indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14389 speedbar-power-click))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14390 ((string-match "-" text) ; contract project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14391 (speedbar-change-expand-button-char ?+)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14392 (setq vhdl-speedbar-shown-project-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14393 (delete token vhdl-speedbar-shown-project-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14394 (speedbar-delete-subblock indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14395 (t (error "Nothing to display")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14396 (when (equal (selected-frame) speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14397 (speedbar-center-buffer-smartly)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14398
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14399 (defun vhdl-speedbar-expand-entity (text token indent)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14400 "Expand/contract the entity under the cursor."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14401 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14402 ((string-match "+" text) ; expand entity
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14403 (let* ((key (vhdl-speedbar-line-key indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14404 (ent-alist (aget vhdl-entity-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14405 (ent-entry (aget ent-alist token t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14406 (arch-alist (nth 3 ent-entry))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14407 (inst-alist (vhdl-get-instantiations token indent))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14408 (subpack-alist (nth 5 ent-entry))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14409 (multiple-arch (> (length arch-alist) 1))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14410 arch-entry inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14411 (if (not (or arch-alist inst-alist subpack-alist))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14412 (speedbar-change-expand-button-char ??)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14413 (speedbar-change-expand-button-char ?-)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14414 ;; add entity to `vhdl-speedbar-shown-unit-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14415 (let* ((unit-alist (aget vhdl-speedbar-shown-unit-alist key t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14416 (aput 'unit-alist token nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14417 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14418 (speedbar-with-writable
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14419 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14420 (end-of-line) (forward-char 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14421 ;; insert architectures
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14422 (when arch-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14423 (vhdl-speedbar-make-title-line "Architectures:" (1+ indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14424 (while arch-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14425 (setq arch-entry (car arch-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14426 (speedbar-make-tag-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14427 'curly ?+ 'vhdl-speedbar-expand-architecture
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14428 (cons token (nth 0 arch-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14429 (nth 1 arch-entry) 'vhdl-speedbar-find-file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14430 (cons (nth 2 arch-entry) (nth 3 arch-entry))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14431 'vhdl-speedbar-architecture-face (1+ indent))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14432 (when (and multiple-arch
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14433 (equal (nth 0 arch-entry) (nth 4 ent-entry)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14434 (end-of-line 0) (insert " (mra)") (forward-char 1))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14435 (setq arch-alist (cdr arch-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14436 ;; insert instantiations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14437 (when inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14438 (vhdl-speedbar-make-title-line "Instantiated as:" (1+ indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14439 (while inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14440 (setq inst-entry (car inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14441 (vhdl-speedbar-make-inst-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14442 (nth 0 inst-entry) (nth 1 inst-entry) (nth 2 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14443 (nth 3 inst-entry) (nth 4 inst-entry) (nth 5 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14444 nil nil nil (1+ indent) 0 " in ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14445 (setq inst-alist (cdr inst-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14446 ;; insert required packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14447 (vhdl-speedbar-insert-subpackages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14448 subpack-alist (1+ indent) indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14449 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14450 (add-to-list 'vhdl-updated-project-list key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14451 (vhdl-speedbar-update-current-unit t t))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14452 ((string-match "-" text) ; contract entity
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14453 (speedbar-change-expand-button-char ?+)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14454 ;; remove entity from `vhdl-speedbar-shown-unit-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14455 (let* ((key (vhdl-speedbar-line-key indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14456 (unit-alist (aget vhdl-speedbar-shown-unit-alist key t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14457 (adelete 'unit-alist token)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14458 (if unit-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14459 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14460 (adelete 'vhdl-speedbar-shown-unit-alist key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14461 (speedbar-delete-subblock indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14462 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14463 (add-to-list 'vhdl-updated-project-list key))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14464 (t (error "Nothing to display")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14465 (when (equal (selected-frame) speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14466 (speedbar-center-buffer-smartly)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14467
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14468 (defun vhdl-speedbar-expand-architecture (text token indent)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14469 "Expand/contract the architecture under the cursor."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14470 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14471 ((string-match "+" text) ; expand architecture
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14472 (let* ((key (vhdl-speedbar-line-key (1- indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14473 (ent-alist (aget vhdl-entity-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14474 (conf-alist (aget vhdl-config-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14475 (hier-alist (vhdl-get-hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14476 ent-alist conf-alist (car token) (cdr token) nil nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14477 0 (1- indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14478 (ent-entry (aget ent-alist (car token) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14479 (arch-entry (aget (nth 3 ent-entry) (cdr token) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14480 (subpack-alist (nth 4 arch-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14481 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14482 (if (not (or hier-alist subpack-alist))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14483 (speedbar-change-expand-button-char ??)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14484 (speedbar-change-expand-button-char ?-)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14485 ;; add architecture to `vhdl-speedbar-shown-unit-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14486 (let* ((unit-alist (aget vhdl-speedbar-shown-unit-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14487 (arch-alist (nth 0 (aget unit-alist (car token) t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14488 (aput 'unit-alist (car token) (list (cons (cdr token) arch-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14489 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14490 (speedbar-with-writable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14491 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14492 (end-of-line) (forward-char 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14493 ;; insert instance hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14494 (when hier-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14495 (vhdl-speedbar-make-title-line "Subcomponent hierarchy:"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14496 (1+ indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14497 (while hier-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14498 (setq entry (car hier-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14499 (when (or (= vhdl-speedbar-hierarchy-depth 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14500 (< (nth 9 entry) vhdl-speedbar-hierarchy-depth))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14501 (vhdl-speedbar-make-inst-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14502 (nth 0 entry) (nth 1 entry) (nth 2 entry) (nth 3 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14503 (nth 4 entry) (nth 5 entry) (nth 6 entry) (nth 7 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14504 (nth 8 entry) (1+ indent) (1+ (nth 9 entry)) ": "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14505 (setq hier-alist (cdr hier-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14506 ;; insert required packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14507 (vhdl-speedbar-insert-subpackages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14508 subpack-alist (1+ indent) (1- indent))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14509 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14510 (add-to-list 'vhdl-updated-project-list key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14511 (vhdl-speedbar-update-current-unit t t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14512 ((string-match "-" text) ; contract architecture
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14513 (speedbar-change-expand-button-char ?+)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14514 ;; remove architecture from `vhdl-speedbar-shown-unit-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14515 (let* ((key (vhdl-speedbar-line-key (1- indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14516 (unit-alist (aget vhdl-speedbar-shown-unit-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14517 (arch-alist (nth 0 (aget unit-alist (car token) t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14518 (aput 'unit-alist (car token) (list (delete (cdr token) arch-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14519 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14520 (speedbar-delete-subblock indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14521 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14522 (add-to-list 'vhdl-updated-project-list key))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14523 (t (error "Nothing to display")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14524 (when (equal (selected-frame) speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14525 (speedbar-center-buffer-smartly)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14526
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14527 (defun vhdl-speedbar-expand-config (text token indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14528 "Expand/contract the configuration under the cursor."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14529 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14530 ((string-match "+" text) ; expand configuration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14531 (let* ((key (vhdl-speedbar-line-key indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14532 (conf-alist (aget vhdl-config-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14533 (conf-entry (aget conf-alist token))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14534 (ent-alist (aget vhdl-entity-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14535 (hier-alist (vhdl-get-hierarchy
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14536 ent-alist conf-alist (nth 3 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14537 (nth 4 conf-entry) token (nth 5 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14538 0 indent t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14539 (subpack-alist (nth 6 conf-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14540 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14541 (if (not (or hier-alist subpack-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14542 (speedbar-change-expand-button-char ??)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14543 (speedbar-change-expand-button-char ?-)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14544 ;; add configuration to `vhdl-speedbar-shown-unit-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14545 (let* ((unit-alist (aget vhdl-speedbar-shown-unit-alist key t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14546 (aput 'unit-alist token nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14547 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14548 (speedbar-with-writable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14549 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14550 (end-of-line) (forward-char 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14551 ;; insert instance hierarchy
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14552 (when hier-alist
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14553 (vhdl-speedbar-make-title-line "Design hierarchy:" (1+ indent)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14554 (while hier-alist
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14555 (setq entry (car hier-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14556 (when (or (= vhdl-speedbar-hierarchy-depth 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14557 (<= (nth 9 entry) vhdl-speedbar-hierarchy-depth))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14558 (vhdl-speedbar-make-inst-line
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14559 (nth 0 entry) (nth 1 entry) (nth 2 entry) (nth 3 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14560 (nth 4 entry) (nth 5 entry) (nth 6 entry) (nth 7 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14561 (nth 8 entry) (1+ indent) (nth 9 entry) ": "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14562 (setq hier-alist (cdr hier-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14563 ;; insert required packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14564 (vhdl-speedbar-insert-subpackages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14565 subpack-alist (1+ indent) indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14566 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14567 (add-to-list 'vhdl-updated-project-list key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14568 (vhdl-speedbar-update-current-unit t t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14569 ((string-match "-" text) ; contract configuration
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14570 (speedbar-change-expand-button-char ?+)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14571 ;; remove configuration from `vhdl-speedbar-shown-unit-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14572 (let* ((key (vhdl-speedbar-line-key indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14573 (unit-alist (aget vhdl-speedbar-shown-unit-alist key t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14574 (adelete 'unit-alist token)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14575 (if unit-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14576 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14577 (adelete 'vhdl-speedbar-shown-unit-alist key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14578 (speedbar-delete-subblock indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14579 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14580 (add-to-list 'vhdl-updated-project-list key))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14581 (t (error "Nothing to display")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14582 (when (equal (selected-frame) speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14583 (speedbar-center-buffer-smartly)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14584
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14585 (defun vhdl-speedbar-expand-package (text token indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14586 "Expand/contract the package under the cursor."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14587 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14588 ((string-match "+" text) ; expand package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14589 (let* ((key (vhdl-speedbar-line-key indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14590 (pack-alist (aget vhdl-package-alist key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14591 (pack-entry (aget pack-alist token t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14592 (comp-alist (nth 3 pack-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14593 (func-alist (nth 4 pack-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14594 (func-body-alist (nth 8 pack-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14595 (subpack-alist (append (nth 5 pack-entry) (nth 9 pack-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14596 comp-entry func-entry func-body-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14597 (if (not (or comp-alist func-alist subpack-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14598 (speedbar-change-expand-button-char ??)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14599 (speedbar-change-expand-button-char ?-)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14600 ;; add package to `vhdl-speedbar-shown-unit-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14601 (let* ((unit-alist (aget vhdl-speedbar-shown-unit-alist key t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14602 (aput 'unit-alist token nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14603 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14604 (speedbar-with-writable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14605 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14606 (end-of-line) (forward-char 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14607 ;; insert components
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14608 (when comp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14609 (vhdl-speedbar-make-title-line "Components:" (1+ indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14610 (while comp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14611 (setq comp-entry (car comp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14612 (speedbar-make-tag-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14613 nil nil nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14614 (cons token (nth 0 comp-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14615 (nth 1 comp-entry) 'vhdl-speedbar-find-file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14616 (cons (nth 2 comp-entry) (nth 3 comp-entry))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14617 'vhdl-speedbar-entity-face (1+ indent))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14618 (setq comp-alist (cdr comp-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14619 ;; insert subprograms
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14620 (when func-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14621 (vhdl-speedbar-make-title-line "Subprograms:" (1+ indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14622 (while func-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14623 (setq func-entry (car func-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14624 func-body-entry (aget func-body-alist (car func-entry) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14625 (when (nth 2 func-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14626 (vhdl-speedbar-make-subprogram-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14627 (nth 1 func-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14628 (cons (nth 2 func-entry) (nth 3 func-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14629 (cons (nth 1 func-body-entry) (nth 2 func-body-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14630 (1+ indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14631 (setq func-alist (cdr func-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14632 ;; insert required packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14633 (vhdl-speedbar-insert-subpackages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14634 subpack-alist (1+ indent) indent)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14635 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14636 (add-to-list 'vhdl-updated-project-list key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14637 (vhdl-speedbar-update-current-unit t t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14638 ((string-match "-" text) ; contract package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14639 (speedbar-change-expand-button-char ?+)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14640 ;; remove package from `vhdl-speedbar-shown-unit-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14641 (let* ((key (vhdl-speedbar-line-key indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14642 (unit-alist (aget vhdl-speedbar-shown-unit-alist key t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14643 (adelete 'unit-alist token)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14644 (if unit-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14645 (aput 'vhdl-speedbar-shown-unit-alist key unit-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14646 (adelete 'vhdl-speedbar-shown-unit-alist key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14647 (speedbar-delete-subblock indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14648 (when (memq 'display vhdl-speedbar-save-cache)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14649 (add-to-list 'vhdl-updated-project-list key))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14650 (t (error "Nothing to display")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14651 (when (equal (selected-frame) speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14652 (speedbar-center-buffer-smartly)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14653
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14654 (defun vhdl-speedbar-insert-subpackages (subpack-alist indent dir-indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14655 "Insert required packages."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14656 (let* ((pack-alist (aget vhdl-package-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14657 (vhdl-speedbar-line-key dir-indent) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14658 pack-key lib-name pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14659 (when subpack-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14660 (vhdl-speedbar-make-title-line "Packages Used:" indent))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14661 (while subpack-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14662 (setq pack-key (cdar subpack-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14663 lib-name (caar subpack-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14664 (setq pack-entry (aget pack-alist pack-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14665 (vhdl-speedbar-make-subpack-line
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14666 (or (nth 0 pack-entry) pack-key) lib-name
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14667 (cons (nth 1 pack-entry) (nth 2 pack-entry))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14668 (cons (nth 6 pack-entry) (nth 7 pack-entry)) indent)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14669 (setq subpack-alist (cdr subpack-alist)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14670
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14671 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14672 ;; Display help functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14673
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14674 (defvar vhdl-speedbar-update-current-unit t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14675 "Non-nil means to run `vhdl-speedbar-update-current-unit'.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14676
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14677 (defun vhdl-speedbar-update-current-project ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14678 "Highlight project that is currently active."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14679 (when (and vhdl-speedbar-show-projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14680 (not (equal vhdl-speedbar-last-selected-project vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14681 (and (boundp 'speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14682 (frame-live-p speedbar-frame)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14683 (let ((last-frame (selected-frame))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14684 (project-alist vhdl-project-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14685 pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14686 (select-frame speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14687 (speedbar-with-writable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14688 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14689 (while project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14690 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14691 (when (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14692 (concat "<.> \\(" (caar project-alist) "\\)$") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14693 (put-text-property (match-beginning 1) (match-end 1) 'face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14694 (if (equal (caar project-alist) vhdl-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14695 'speedbar-selected-face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14696 'speedbar-directory-face))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14697 (when (equal (caar project-alist) vhdl-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14698 (setq pos (1- (match-beginning 1)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14699 (setq project-alist (cdr project-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14700 (when pos (goto-char pos)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14701 (select-frame last-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14702 (setq vhdl-speedbar-last-selected-project vhdl-project)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14703 t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14704
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14705 (defun vhdl-speedbar-update-current-unit (&optional no-position always)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14706 "Highlight all design units that are contained in the current file.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14707 NO-POSITION non-nil means do not re-position cursor."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14708 (let ((last-frame (selected-frame))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14709 (project-list vhdl-speedbar-shown-project-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14710 file-alist pos file-name)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14711 ;; get current file name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14712 (if (fboundp 'speedbar-select-attached-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14713 (speedbar-select-attached-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14714 (select-frame speedbar-attached-frame))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14715 (setq file-name (abbreviate-file-name (or (buffer-file-name) "")))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14716 (when (and vhdl-speedbar-update-current-unit
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14717 (or always (not (equal file-name speedbar-last-selected-file))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14718 (if vhdl-speedbar-show-projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14719 (while project-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14720 (setq file-alist (append file-alist (aget vhdl-file-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14721 (car project-list) t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14722 (setq project-list (cdr project-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14723 (setq file-alist (aget vhdl-file-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14724 (abbreviate-file-name default-directory) t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14725 (select-frame speedbar-frame)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14726 (set-buffer speedbar-buffer)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14727 (speedbar-with-writable
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14728 (vhdl-prepare-search-1
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14729 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14730 ;; unhighlight last units
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14731 (let* ((file-entry (aget file-alist speedbar-last-selected-file t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14732 (vhdl-speedbar-update-units
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14733 "\\[.\\] " (nth 0 file-entry)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14734 speedbar-last-selected-file 'vhdl-speedbar-entity-face)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14735 (vhdl-speedbar-update-units
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14736 "{.} " (nth 1 file-entry)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14737 speedbar-last-selected-file 'vhdl-speedbar-architecture-face)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14738 (vhdl-speedbar-update-units
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14739 "\\[.\\] " (nth 3 file-entry)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14740 speedbar-last-selected-file 'vhdl-speedbar-configuration-face)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14741 (vhdl-speedbar-update-units
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14742 "[]>] " (nth 4 file-entry)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14743 speedbar-last-selected-file 'vhdl-speedbar-package-face)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14744 (vhdl-speedbar-update-units
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14745 "\\[.\\].+(" '("body")
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14746 speedbar-last-selected-file 'vhdl-speedbar-package-face)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14747 (vhdl-speedbar-update-units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14748 "> " (nth 6 file-entry)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14749 speedbar-last-selected-file 'vhdl-speedbar-instantiation-face))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14750 ;; highlight current units
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14751 (let* ((file-entry (aget file-alist file-name t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14752 (setq
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14753 pos (vhdl-speedbar-update-units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14754 "\\[.\\] " (nth 0 file-entry)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14755 file-name 'vhdl-speedbar-entity-selected-face pos)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14756 pos (vhdl-speedbar-update-units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14757 "{.} " (nth 1 file-entry)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14758 file-name 'vhdl-speedbar-architecture-selected-face pos)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14759 pos (vhdl-speedbar-update-units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14760 "\\[.\\] " (nth 3 file-entry)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14761 file-name 'vhdl-speedbar-configuration-selected-face pos)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14762 pos (vhdl-speedbar-update-units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14763 "[]>] " (nth 4 file-entry)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14764 file-name 'vhdl-speedbar-package-selected-face pos)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14765 pos (vhdl-speedbar-update-units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14766 "\\[.\\].+(" '("body")
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14767 file-name 'vhdl-speedbar-package-selected-face pos)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14768 pos (vhdl-speedbar-update-units
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14769 "> " (nth 6 file-entry)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14770 file-name 'vhdl-speedbar-instantiation-selected-face pos))))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14771 ;; move speedbar so the first highlighted unit is visible
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14772 (when (and pos (not no-position))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14773 (goto-char pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14774 (speedbar-center-buffer-smartly)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14775 (speedbar-position-cursor-on-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14776 (setq speedbar-last-selected-file file-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14777 (select-frame last-frame)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14778 t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14779
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14780 (defun vhdl-speedbar-update-units (text unit-list file-name face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14781 &optional pos)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14782 "Help function to highlight design units."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14783 (while unit-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14784 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14785 (while (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14786 (concat text "\\(" (car unit-list) "\\)\\>") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14787 (when (equal file-name (car (get-text-property
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14788 (match-beginning 1) 'speedbar-token)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14789 (setq pos (or pos (point-marker)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14790 (put-text-property (match-beginning 1) (match-end 1) 'face face)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14791 (setq unit-list (cdr unit-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14792 pos)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14793
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14794 (defun vhdl-speedbar-make-inst-line (inst-name inst-file-marker
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14795 ent-name ent-file-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14796 arch-name arch-file-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14797 conf-name conf-file-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14798 lib-name depth offset delimiter)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14799 "Insert instantiation entry."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14800 (let ((start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14801 visible-start)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14802 (insert (int-to-string depth) ":")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14803 (put-text-property start (point) 'invisible t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14804 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14805 (insert-char ? (* depth speedbar-indentation-width))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14806 (while (> offset 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14807 (insert "|")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14808 (insert-char (if (= offset 1) ?- ? ) (1- speedbar-indentation-width))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14809 (setq offset (1- offset)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14810 (put-text-property visible-start (point) 'invisible nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14811 (setq start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14812 (insert ">")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14813 (speedbar-make-button start (point) nil nil nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14814 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14815 (insert " ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14816 (setq start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14817 (if (not inst-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14818 (insert "(top)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14819 (insert inst-name)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14820 (speedbar-make-button
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14821 start (point) 'vhdl-speedbar-instantiation-face 'speedbar-highlight-face
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14822 'vhdl-speedbar-find-file inst-file-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14823 (insert delimiter)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14824 (when ent-name
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14825 (setq start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14826 (insert ent-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14827 (speedbar-make-button
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14828 start (point) 'vhdl-speedbar-entity-face 'speedbar-highlight-face
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14829 'vhdl-speedbar-find-file ent-file-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14830 (when arch-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14831 (insert " (")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14832 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14833 (insert arch-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14834 (speedbar-make-button
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14835 start (point) 'vhdl-speedbar-architecture-face 'speedbar-highlight-face
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14836 'vhdl-speedbar-find-file arch-file-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14837 (insert ")"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14838 (when conf-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14839 (insert " (")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14840 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14841 (insert conf-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14842 (speedbar-make-button
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14843 start (point) 'vhdl-speedbar-configuration-face 'speedbar-highlight-face
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14844 'vhdl-speedbar-find-file conf-file-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14845 (insert ")")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14846 (when (and lib-name (not (equal lib-name (downcase (vhdl-work-library)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14847 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14848 (insert " (" lib-name ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14849 (put-text-property (+ 2 start) (1- (point)) 'face
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14850 'vhdl-speedbar-library-face))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14851 (insert-char ?\n 1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14852 (put-text-property visible-start (point) 'invisible nil)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14853
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14854 (defun vhdl-speedbar-make-pack-line (pack-key pack-name pack-file-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14855 body-file-marker depth)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14856 "Insert package entry."
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14857 (let ((start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14858 visible-start)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14859 (insert (int-to-string depth) ":")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14860 (put-text-property start (point) 'invisible t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14861 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14862 (insert-char ? (* depth speedbar-indentation-width))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14863 (put-text-property visible-start (point) 'invisible nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14864 (setq start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14865 (insert "[+]")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14866 (speedbar-make-button
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14867 start (point) 'speedbar-button-face 'speedbar-highlight-face
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14868 'vhdl-speedbar-expand-package pack-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14869 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14870 (insert-char ? 1 nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14871 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14872 (insert pack-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14873 (speedbar-make-button
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14874 start (point) 'vhdl-speedbar-package-face 'speedbar-highlight-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14875 'vhdl-speedbar-find-file pack-file-marker)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14876 (unless (car pack-file-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14877 (insert "!"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14878 (when (car body-file-marker)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14879 (insert " (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14880 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14881 (insert "body")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14882 (speedbar-make-button
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14883 start (point) 'vhdl-speedbar-package-face 'speedbar-highlight-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14884 'vhdl-speedbar-find-file body-file-marker)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14885 (insert ")"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14886 (insert-char ?\n 1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14887 (put-text-property visible-start (point) 'invisible nil)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14888
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14889 (defun vhdl-speedbar-make-subpack-line (pack-name lib-name pack-file-marker
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14890 pack-body-file-marker depth)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14891 "Insert used package entry."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14892 (let ((start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14893 visible-start)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14894 (insert (int-to-string depth) ":")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14895 (put-text-property start (point) 'invisible t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14896 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14897 (insert-char ? (* depth speedbar-indentation-width))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14898 (put-text-property visible-start (point) 'invisible nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14899 (setq start (point))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14900 (insert ">")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14901 (speedbar-make-button start (point) nil nil nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14902 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14903 (insert " ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14904 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14905 (insert pack-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14906 (speedbar-make-button
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14907 start (point) 'vhdl-speedbar-package-face 'speedbar-highlight-face
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14908 'vhdl-speedbar-find-file pack-file-marker)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14909 (when (car pack-body-file-marker)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14910 (insert " (")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14911 (setq start (point))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14912 (insert "body")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14913 (speedbar-make-button
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14914 start (point) 'vhdl-speedbar-package-face 'speedbar-highlight-face
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14915 'vhdl-speedbar-find-file pack-body-file-marker)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14916 (insert ")"))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14917 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14918 (insert " (" lib-name ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14919 (put-text-property (+ 2 start) (1- (point)) 'face
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14920 'vhdl-speedbar-library-face)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14921 (insert-char ?\n 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14922 (put-text-property visible-start (point) 'invisible nil)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14923
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14924 (defun vhdl-speedbar-make-subprogram-line (func-name func-file-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14925 func-body-file-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14926 depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14927 "Insert subprogram entry."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14928 (let ((start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14929 visible-start)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14930 (insert (int-to-string depth) ":")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14931 (put-text-property start (point) 'invisible t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14932 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14933 (insert-char ? (* depth speedbar-indentation-width))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14934 (put-text-property visible-start (point) 'invisible nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14935 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14936 (insert ">")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14937 (speedbar-make-button start (point) nil nil nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14938 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14939 (insert " ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14940 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14941 (insert func-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14942 (speedbar-make-button
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14943 start (point) 'vhdl-speedbar-subprogram-face 'speedbar-highlight-face
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14944 'vhdl-speedbar-find-file func-file-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14945 (when (car func-body-file-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14946 (insert " (")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14947 (setq start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14948 (insert "body")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14949 (speedbar-make-button
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
14950 start (point) 'vhdl-speedbar-subprogram-face 'speedbar-highlight-face
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14951 'vhdl-speedbar-find-file func-body-file-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14952 (insert ")"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14953 (insert-char ?\n 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14954 (put-text-property visible-start (point) 'invisible nil)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14955
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14956 (defun vhdl-speedbar-make-title-line (text &optional depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14957 "Insert design unit title entry."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14958 (let ((start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14959 visible-start)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14960 (when depth
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14961 (insert (int-to-string depth) ":")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14962 (put-text-property start (point) 'invisible t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14963 (setq visible-start (point))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14964 (insert-char ? (* (or depth 0) speedbar-indentation-width))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14965 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14966 (insert text)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14967 (speedbar-make-button start (point) nil nil nil nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14968 (insert-char ?\n 1)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
14969 (put-text-property visible-start (point) 'invisible nil)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14970
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14971 (defun vhdl-speedbar-insert-dirs (files level)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14972 "Insert subdirectories."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14973 (let ((dirs (car files)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14974 (while dirs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14975 (speedbar-make-tag-line 'angle ?+ 'vhdl-speedbar-dired (car dirs)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14976 (car dirs) 'speedbar-dir-follow nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14977 'speedbar-directory-face level)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14978 (setq dirs (cdr dirs)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14979
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14980 (defun vhdl-speedbar-dired (text token indent)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14981 "Speedbar click handler for directory expand button in hierarchy mode."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14982 (cond ((string-match "+" text) ; we have to expand this dir
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14983 (setq speedbar-shown-directories
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14984 (cons (expand-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14985 (concat (speedbar-line-path indent) token "/"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14986 speedbar-shown-directories))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14987 (speedbar-change-expand-button-char ?-)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14988 (speedbar-reset-scanners)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14989 (speedbar-with-writable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14990 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14991 (end-of-line) (forward-char 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14992 (vhdl-speedbar-insert-dirs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14993 (speedbar-file-lists
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14994 (concat (speedbar-line-path indent) token "/"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14995 (1+ indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14996 (speedbar-reset-scanners)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14997 (vhdl-speedbar-insert-dir-hierarchy
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14998 (abbreviate-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
14999 (concat (speedbar-line-path indent) token "/"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15000 (1+ indent) speedbar-power-click)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15001 (vhdl-speedbar-update-current-unit t t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15002 ((string-match "-" text) ; we have to contract this node
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15003 (speedbar-reset-scanners)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15004 (let ((oldl speedbar-shown-directories)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15005 (newl nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15006 (td (expand-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15007 (concat (speedbar-line-path indent) token))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15008 (while oldl
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15009 (if (not (string-match (concat "^" (regexp-quote td)) (car oldl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15010 (setq newl (cons (car oldl) newl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15011 (setq oldl (cdr oldl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15012 (setq speedbar-shown-directories (nreverse newl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15013 (speedbar-change-expand-button-char ?+)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15014 (speedbar-delete-subblock indent))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15015 (t (error "Nothing to display")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15016 (when (equal (selected-frame) speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15017 (speedbar-center-buffer-smartly)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15018
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15019 (defun vhdl-speedbar-item-info ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15020 "Derive and display information about this line item."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15021 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15022 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15023 ;; skip invisible number info
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15024 (when (looking-at "^[0-9]+:") (goto-char (match-end 0)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15025 (cond
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15026 ;; project/directory entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15027 ((looking-at "\\s-*<[-+?]>\\s-+\\([^\n]+\\)$")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15028 (if vhdl-speedbar-show-projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15029 (message "Project \"%s\"" (match-string-no-properties 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15030 (speedbar-files-item-info)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15031 ;; design unit entry
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15032 ((looking-at "\\(\\s-*\\([[{][-+?][]}]\\|[| -]*>\\) \\)\"?\\w")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15033 (goto-char (match-end 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15034 (let ((face (get-text-property (point) 'face)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15035 (message
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15036 "%s \"%s\" in \"%s\""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15037 ;; design unit kind
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15038 (cond ((or (eq face 'vhdl-speedbar-entity-face)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15039 (eq face 'vhdl-speedbar-entity-selected-face))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15040 (if (equal (match-string 2) ">") "Component" "Entity"))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15041 ((or (eq face 'vhdl-speedbar-architecture-face)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15042 (eq face 'vhdl-speedbar-architecture-selected-face))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15043 "Architecture")
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15044 ((or (eq face 'vhdl-speedbar-configuration-face)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15045 (eq face 'vhdl-speedbar-configuration-selected-face))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15046 "Configuration")
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15047 ((or (eq face 'vhdl-speedbar-package-face)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15048 (eq face 'vhdl-speedbar-package-selected-face))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15049 "Package")
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15050 ((or (eq face 'vhdl-speedbar-instantiation-face)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15051 (eq face 'vhdl-speedbar-instantiation-selected-face))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15052 "Instantiation")
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15053 ((eq face 'vhdl-speedbar-subprogram-face)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15054 "Subprogram")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15055 (t ""))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15056 ;; design unit name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15057 (buffer-substring-no-properties
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15058 (progn (looking-at "\"?\\(\\(\\w\\|_\\)+\\)\"?") (match-beginning 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15059 (match-end 1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15060 ;; file name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15061 (file-relative-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15062 (or (car (get-text-property (point) 'speedbar-token))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15063 "?")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15064 (vhdl-default-directory)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15065 (t (message "")))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15066
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15067 (defun vhdl-speedbar-line-text ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15068 "Calls `speedbar-line-text' and removes text properties."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15069 (let ((string (speedbar-line-text)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15070 (set-text-properties 0 (length string) nil string)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15071 string))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15072
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15073 (defun vhdl-speedbar-higher-text ()
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15074 "Get speedbar-line-text of higher level."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15075 (let (depth string)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15076 (save-excursion
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15077 (beginning-of-line)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15078 (looking-at "^\\([0-9]+\\):")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15079 (setq depth (string-to-number (match-string 1)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15080 (when (re-search-backward (format "^%d: *[[<{][-+?][]>}] \\([^ \n]+\\)" (1- depth)) nil t)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15081 (setq string (match-string 1))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15082 (set-text-properties 0 (length string) nil string)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15083 string))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15084
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15085 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15086 ;; Help functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15087
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15088 (defun vhdl-speedbar-line-key (&optional indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15089 "Get currently displayed directory of project name."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15090 (if vhdl-speedbar-show-projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15091 (vhdl-speedbar-line-project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15092 (abbreviate-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15093 (file-name-as-directory (speedbar-line-path indent)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15094
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15095 (defun vhdl-speedbar-line-project (&optional indent)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15096 "Get currently displayed project name."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15097 (and vhdl-speedbar-show-projects
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15098 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15099 (end-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15100 (re-search-backward "^[0-9]+:\\s-*<[-+?]>\\s-+\\([^\n]+\\)$" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15101 (match-string-no-properties 1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15102
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15103 (defun vhdl-add-modified-file ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15104 "Add file to `vhdl-modified-file-list'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15105 (when vhdl-file-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15106 (add-to-list 'vhdl-modified-file-list (buffer-file-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15107 nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15108
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15109 (defun vhdl-resolve-paths (path-list)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15110 "Resolve path wildcards in PATH-LIST."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15111 (let (path-list-1 path-list-2 path-beg path-end dir)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15112 ;; eliminate non-existent directories
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15113 (while path-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15114 (setq dir (car path-list))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15115 (string-match "\\(-r \\)?\\(\\([^?*]*[/\\]\\)*\\)" dir)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15116 (if (file-directory-p (match-string 2 dir))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15117 (setq path-list-1 (cons dir path-list-1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15118 (vhdl-warning-when-idle "No such directory: \"%s\"" (match-string 2 dir)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15119 (setq path-list (cdr path-list)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15120 ;; resolve path wildcards
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15121 (while path-list-1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15122 (setq dir (car path-list-1))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15123 (if (string-match "\\(-r \\)?\\(\\([^?*]*[/\\]\\)*\\)\\([^/\\]*[?*][^/\\]*\\)\\([/\\].*\\)" dir)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15124 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15125 (setq path-beg (match-string 1 dir)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15126 path-end (match-string 5 dir))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15127 (setq path-list-1
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15128 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15129 (mapcar
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15130 (function
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15131 (lambda (var) (concat path-beg var path-end)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15132 (let ((all-list (vhdl-directory-files
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15133 (match-string 2 dir) t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15134 (concat "\\<" (wildcard-to-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15135 (match-string 4 dir)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15136 dir-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15137 (while all-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15138 (when (file-directory-p (car all-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15139 (setq dir-list (cons (car all-list) dir-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15140 (setq all-list (cdr all-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15141 dir-list))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15142 (cdr path-list-1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15143 (string-match "\\(-r \\)?\\(.*\\)[/\\].*" dir)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15144 (when (file-directory-p (match-string 2 dir))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15145 (setq path-list-2 (cons dir path-list-2)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15146 (setq path-list-1 (cdr path-list-1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15147 (nreverse path-list-2)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15148
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15149 (defun vhdl-speedbar-goto-this-unit (directory unit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15150 "If UNIT is displayed in DIRECTORY, goto this line and return t, else nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15151 (let ((dest (point)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15152 (if (and (if vhdl-speedbar-show-projects
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15153 (progn (goto-char (point-min)) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15154 (speedbar-goto-this-file directory))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15155 (re-search-forward (concat "[]}] " unit "\\>") nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15156 (progn (speedbar-position-cursor-on-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15157 t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15158 (goto-char dest)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15159 nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15160
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15161 (defun vhdl-speedbar-find-file (text token indent)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15162 "When user clicks on TEXT, load file with name and position in TOKEN.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15163 Jump to the design unit if `vhdl-speedbar-jump-to-unit' is t or if the file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15164 is already shown in a buffer."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15165 (if (not (car token))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15166 (error "ERROR: File cannot be found")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15167 (let ((buffer (get-file-buffer (car token))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15168 (speedbar-find-file-in-frame (car token))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15169 (when (or vhdl-speedbar-jump-to-unit buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15170 (goto-line (cdr token))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15171 (recenter))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15172 (vhdl-speedbar-update-current-unit t t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15173 (speedbar-set-timer speedbar-update-speed)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15174 (speedbar-maybee-jump-to-attached-frame))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15175
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15176 (defun vhdl-speedbar-port-copy ()
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15177 "Copy the port of the entity/component or subprogram under the cursor."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15178 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15179 (let ((is-entity (vhdl-speedbar-check-unit 'entity)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15180 (if (not (or is-entity (vhdl-speedbar-check-unit 'subprogram)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15181 (error "ERROR: No entity/component or subprogram under cursor")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15182 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15183 (if (looking-at "\\([0-9]\\)+:\\s-*\\(\\[[-+?]\\]\\|>\\) \\(\\(\\w\\|\\s_\\)+\\)")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15184 (condition-case info
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15185 (let ((token (get-text-property
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15186 (match-beginning 3) 'speedbar-token)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15187 (vhdl-visit-file (car token) t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15188 (progn (goto-line (cdr token))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15189 (end-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15190 (if is-entity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15191 (vhdl-port-copy)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15192 (vhdl-subprog-copy)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15193 (error (error "ERROR: %s not scanned successfully\n (%s)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15194 (if is-entity "Port" "Interface") (cadr info))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15195 (error "ERROR: No entity/component or subprogram on current line")))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15196
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15197 (defun vhdl-speedbar-place-component ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15198 "Place the entity/component under the cursor as component."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15199 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15200 (if (not (vhdl-speedbar-check-unit 'entity))
63428
252224857a7b (vhdl-speedbar-place-component): Follow error conventions.
Juanma Barranquero <lekktu@gmail.com>
parents: 62917
diff changeset
15201 (error "ERROR: No entity/component under cursor")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15202 (vhdl-speedbar-port-copy)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15203 (if (fboundp 'speedbar-select-attached-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15204 (speedbar-select-attached-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15205 (select-frame speedbar-attached-frame))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15206 (vhdl-compose-place-component)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15207 (select-frame speedbar-frame)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15208
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15209 (defun vhdl-speedbar-configuration ()
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15210 "Generate configuration for the architecture under the cursor."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15211 (interactive)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15212 (if (not (vhdl-speedbar-check-unit 'architecture))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15213 (error "ERROR: No architecture under cursor")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15214 (let ((arch-name (vhdl-speedbar-line-text))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15215 (ent-name (vhdl-speedbar-higher-text)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15216 (if (fboundp 'speedbar-select-attached-frame)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15217 (speedbar-select-attached-frame)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15218 (select-frame speedbar-attached-frame))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15219 (vhdl-compose-configuration ent-name arch-name))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15220
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15221 (defun vhdl-speedbar-select-mra ()
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15222 "Select the architecture under the cursor as MRA."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15223 (interactive)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15224 (if (not (vhdl-speedbar-check-unit 'architecture))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15225 (error "ERROR: No architecture under cursor")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15226 (let* ((arch-key (downcase (vhdl-speedbar-line-text)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15227 (ent-key (downcase (vhdl-speedbar-higher-text)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15228 (ent-alist (aget vhdl-entity-alist
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15229 (or (vhdl-project-p) default-directory) t))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15230 (ent-entry (aget ent-alist ent-key t)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15231 (setcar (cddr (cddr ent-entry)) arch-key) ; (nth 4 ent-entry)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15232 (speedbar-refresh))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15233
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15234 (defun vhdl-speedbar-make-design ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15235 "Make (compile) design unit or directory/project under the cursor."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15236 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15237 (if (not (save-excursion (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15238 (looking-at "[0-9]+: *\\(\\(\\[\\)\\|<\\)")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15239 (error "ERROR: No primary design unit or directory/project under cursor")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15240 (let ((is-unit (match-string 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15241 (unit-name (vhdl-speedbar-line-text))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15242 (vhdl-project (vhdl-speedbar-line-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15243 (directory (file-name-as-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15244 (or (speedbar-line-file) (speedbar-line-path)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15245 (if (fboundp 'speedbar-select-attached-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15246 (speedbar-select-attached-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15247 (select-frame speedbar-attached-frame))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15248 (let ((default-directory directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15249 (vhdl-make (and is-unit unit-name))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15250
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15251 (defun vhdl-speedbar-generate-makefile ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15252 "Generate Makefile for directory/project under the cursor."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15253 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15254 (let ((vhdl-project (vhdl-speedbar-line-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15255 (default-directory (file-name-as-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15256 (or (speedbar-line-file) (speedbar-line-path)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15257 (vhdl-generate-makefile)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15258
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15259 (defun vhdl-speedbar-check-unit (design-unit)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15260 "Check whether design unit under cursor corresponds to DESIGN-UNIT (or its
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15261 expansion function)."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15262 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15263 (speedbar-position-cursor-on-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15264 (cond ((eq design-unit 'entity)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15265 (memq (get-text-property (match-end 0) 'face)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15266 '(vhdl-speedbar-entity-face
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15267 vhdl-speedbar-entity-selected-face)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15268 ((eq design-unit 'architecture)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15269 (memq (get-text-property (match-end 0) 'face)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15270 '(vhdl-speedbar-architecture-face
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15271 vhdl-speedbar-architecture-selected-face)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15272 ((eq design-unit 'subprogram)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15273 (eq (get-text-property (match-end 0) 'face)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15274 'vhdl-speedbar-subprogram-face))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15275 (t nil))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15276
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15277 (defun vhdl-speedbar-set-depth (depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15278 "Set hierarchy display depth to DEPTH and refresh speedbar."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15279 (setq vhdl-speedbar-hierarchy-depth depth)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15280 (speedbar-refresh))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15281
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15282 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15283 ;; Fontification
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15284
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15285 (defface vhdl-speedbar-entity-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15286 '((((class color) (background light)) (:foreground "ForestGreen"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15287 (((class color) (background dark)) (:foreground "PaleGreen")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15288 "Face used for displaying entity names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15289 :group 'speedbar-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15290
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15291 (defface vhdl-speedbar-architecture-face
65314
6c488c4c11c6 * term/xterm.el (terminal-init-xterm): Add eval-when-compile to
Dan Nicolaescu <dann@ics.uci.edu>
parents: 65302
diff changeset
15292 '((((min-colors 88) (class color) (background light)) (:foreground "Blue1"))
6c488c4c11c6 * term/xterm.el (terminal-init-xterm): Add eval-when-compile to
Dan Nicolaescu <dann@ics.uci.edu>
parents: 65302
diff changeset
15293 (((class color) (background light)) (:foreground "Blue"))
6c488c4c11c6 * term/xterm.el (terminal-init-xterm): Add eval-when-compile to
Dan Nicolaescu <dann@ics.uci.edu>
parents: 65302
diff changeset
15294
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15295 (((class color) (background dark)) (:foreground "LightSkyBlue")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15296 "Face used for displaying architecture names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15297 :group 'speedbar-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15298
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15299 (defface vhdl-speedbar-configuration-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15300 '((((class color) (background light)) (:foreground "DarkGoldenrod"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15301 (((class color) (background dark)) (:foreground "Salmon")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15302 "Face used for displaying configuration names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15303 :group 'speedbar-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15304
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15305 (defface vhdl-speedbar-package-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15306 '((((class color) (background light)) (:foreground "Grey50"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15307 (((class color) (background dark)) (:foreground "Grey80")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15308 "Face used for displaying package names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15309 :group 'speedbar-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15310
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15311 (defface vhdl-speedbar-library-face
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15312 '((((class color) (background light)) (:foreground "Purple"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15313 (((class color) (background dark)) (:foreground "Orchid1")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15314 "Face used for displaying library names."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15315 :group 'speedbar-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15316
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15317 (defface vhdl-speedbar-instantiation-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15318 '((((class color) (background light)) (:foreground "Brown"))
61394
31aa9a390538 * mh-customize.el (mh-speedbar-selected-folder-face): Special case
Dan Nicolaescu <dann@ics.uci.edu>
parents: 60925
diff changeset
15319 (((min-colors 88) (class color) (background dark)) (:foreground "Yellow1"))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15320 (((class color) (background dark)) (:foreground "Yellow")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15321 "Face used for displaying instantiation names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15322 :group 'speedbar-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15323
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15324 (defface vhdl-speedbar-subprogram-face
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15325 '((((class color) (background light)) (:foreground "Orchid4"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15326 (((class color) (background dark)) (:foreground "BurlyWood2")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15327 "Face used for displaying subprogram names."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15328 :group 'speedbar-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15329
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15330 (defface vhdl-speedbar-entity-selected-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15331 '((((class color) (background light)) (:foreground "ForestGreen" :underline t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15332 (((class color) (background dark)) (:foreground "PaleGreen" :underline t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15333 "Face used for displaying entity names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15334 :group 'speedbar-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15335
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15336 (defface vhdl-speedbar-architecture-selected-face
65314
6c488c4c11c6 * term/xterm.el (terminal-init-xterm): Add eval-when-compile to
Dan Nicolaescu <dann@ics.uci.edu>
parents: 65302
diff changeset
15337 '((((min-colors 88) (class color) (background light)) (:foreground
6c488c4c11c6 * term/xterm.el (terminal-init-xterm): Add eval-when-compile to
Dan Nicolaescu <dann@ics.uci.edu>
parents: 65302
diff changeset
15338 "Blue1" :underline t))
6c488c4c11c6 * term/xterm.el (terminal-init-xterm): Add eval-when-compile to
Dan Nicolaescu <dann@ics.uci.edu>
parents: 65302
diff changeset
15339 (((class color) (background light)) (:foreground "Blue" :underline t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15340 (((class color) (background dark)) (:foreground "LightSkyBlue" :underline t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15341 "Face used for displaying architecture names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15342 :group 'speedbar-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15343
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15344 (defface vhdl-speedbar-configuration-selected-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15345 '((((class color) (background light)) (:foreground "DarkGoldenrod" :underline t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15346 (((class color) (background dark)) (:foreground "Salmon" :underline t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15347 "Face used for displaying configuration names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15348 :group 'speedbar-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15349
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15350 (defface vhdl-speedbar-package-selected-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15351 '((((class color) (background light)) (:foreground "Grey50" :underline t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15352 (((class color) (background dark)) (:foreground "Grey80" :underline t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15353 "Face used for displaying package names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15354 :group 'speedbar-faces)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15355
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15356 (defface vhdl-speedbar-instantiation-selected-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15357 '((((class color) (background light)) (:foreground "Brown" :underline t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15358 (((class color) (background dark)) (:foreground "Yellow" :underline t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15359 "Face used for displaying instantiation names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15360 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
15361
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15362 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15363 ;; Initialization
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15364
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15365 ;; add speedbar
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15366 (when (fboundp 'speedbar)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15367 (condition-case ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15368 (when (and vhdl-speedbar-auto-open
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15369 (not (and (boundp 'speedbar-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15370 (frame-live-p speedbar-frame))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15371 (speedbar-frame-mode 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15372 (if (fboundp 'speedbar-select-attached-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15373 (speedbar-select-attached-frame)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15374 (select-frame speedbar-attached-frame)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15375 (error (vhdl-warning-when-idle "ERROR: An error occurred while opening speedbar"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15376
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15377 ;; initialize speedbar
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15378 (if (not (boundp 'speedbar-frame))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15379 (add-hook 'speedbar-load-hook 'vhdl-speedbar-initialize)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15380 (vhdl-speedbar-initialize)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15381 (when speedbar-frame (vhdl-speedbar-refresh)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15382
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15383
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15384 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15385 ;;; Structural composition
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15386 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15387
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15388 (defun vhdl-get-components-package-name ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15389 "Return the name of the components package."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15390 (let ((project (vhdl-project-p)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15391 (if project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15392 (vhdl-replace-string (car vhdl-components-package-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15393 (subst-char-in-string ? ?_ project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15394 (cdr vhdl-components-package-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15395
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15396 (defun vhdl-compose-new-component ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15397 "Create entity and architecture for new component."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15398 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15399 (let* ((case-fold-search t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15400 (ent-name (read-from-minibuffer "entity name: "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15401 nil vhdl-minibuffer-local-map))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15402 (arch-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15403 (if (equal (cdr vhdl-compose-architecture-name) "")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15404 (read-from-minibuffer "architecture name: "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15405 nil vhdl-minibuffer-local-map)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15406 (vhdl-replace-string vhdl-compose-architecture-name ent-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15407 ent-file-name arch-file-name ent-buffer arch-buffer project)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15408 (message "Creating component \"%s(%s)\"..." ent-name arch-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15409 ;; open entity file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15410 (unless (eq vhdl-compose-create-files 'none)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15411 (setq ent-file-name
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15412 (concat (vhdl-replace-string vhdl-entity-file-name ent-name t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15413 "." (file-name-extension (buffer-file-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15414 (when (and (file-exists-p ent-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15415 (not (y-or-n-p (concat "File \"" ent-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15416 "\" exists; overwrite? "))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15417 (error "ERROR: Creating component...aborted"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15418 (find-file ent-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15419 (erase-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15420 (set-buffer-modified-p nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15421 ;; insert header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15422 (if vhdl-compose-include-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15423 (progn (vhdl-template-header)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15424 (goto-char (point-max)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15425 (vhdl-comment-display-line) (insert "\n\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15426 ;; insert library clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15427 (vhdl-template-package-std-logic-1164)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15428 (when vhdl-use-components-package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15429 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15430 (vhdl-template-standard-package (vhdl-work-library)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15431 (vhdl-get-components-package-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15432 (insert "\n\n") (vhdl-comment-display-line) (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15433 ;; insert entity declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15434 (vhdl-insert-keyword "ENTITY ") (insert ent-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15435 (vhdl-insert-keyword " IS\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15436 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15437 (indent-to vhdl-basic-offset) (vhdl-insert-keyword "GENERIC (\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15438 (indent-to (* 2 vhdl-basic-offset)) (insert ");\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15439 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15440 (indent-to vhdl-basic-offset) (vhdl-insert-keyword "PORT (\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15441 (indent-to (* 2 vhdl-basic-offset)) (insert ");\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15442 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15443 (vhdl-insert-keyword "END ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15444 (unless (vhdl-standard-p '87) (vhdl-insert-keyword "ENTITY "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15445 (insert ent-name ";\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15446 (vhdl-comment-display-line) (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15447 ;; open architecture file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15448 (if (not (eq vhdl-compose-create-files 'separate))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15449 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15450 (setq ent-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15451 (setq arch-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15452 (concat (vhdl-replace-string vhdl-architecture-file-name
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15453 (concat ent-name " " arch-name) t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15454 "." (file-name-extension (buffer-file-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15455 (when (and (file-exists-p arch-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15456 (not (y-or-n-p (concat "File \"" arch-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15457 "\" exists; overwrite? "))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15458 (error "ERROR: Creating component...aborted"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15459 (find-file arch-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15460 (erase-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15461 (set-buffer-modified-p nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15462 ;; insert header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15463 (if vhdl-compose-include-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15464 (progn (vhdl-template-header)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15465 (goto-char (point-max)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15466 (vhdl-comment-display-line) (insert "\n\n")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15467 ;; insert architecture body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15468 (vhdl-insert-keyword "ARCHITECTURE ") (insert arch-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15469 (vhdl-insert-keyword " OF ") (insert ent-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15470 (vhdl-insert-keyword " IS\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15471 (indent-to vhdl-basic-offset) (vhdl-comment-display-line) (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15472 (indent-to vhdl-basic-offset) (insert "-- Internal signal declarations\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15473 (indent-to vhdl-basic-offset) (vhdl-comment-display-line) (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15474 (unless (or vhdl-use-components-package (vhdl-use-direct-instantiation))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15475 (indent-to vhdl-basic-offset) (vhdl-comment-display-line) (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15476 (indent-to vhdl-basic-offset) (insert "-- Component declarations\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15477 (indent-to vhdl-basic-offset) (vhdl-comment-display-line) (insert "\n\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15478 (vhdl-insert-keyword "BEGIN")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15479 (when vhdl-self-insert-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15480 (insert " -- ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15481 (unless (vhdl-standard-p '87) (vhdl-insert-keyword "ARCHITECTURE "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15482 (insert arch-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15483 (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15484 (indent-to vhdl-basic-offset) (vhdl-comment-display-line) (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15485 (indent-to vhdl-basic-offset) (insert "-- Component instantiations\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15486 (indent-to vhdl-basic-offset) (vhdl-comment-display-line) (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15487 (vhdl-insert-keyword "END ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15488 (unless (vhdl-standard-p '87) (vhdl-insert-keyword "ARCHITECTURE "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15489 (insert arch-name ";\n\n")
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15490 ;; insert footer and save
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15491 (if (and vhdl-compose-include-header (not (equal vhdl-file-footer "")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15492 (vhdl-template-footer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15493 (vhdl-comment-display-line) (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15494 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15495 (setq arch-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15496 (when ent-buffer (set-buffer ent-buffer) (save-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15497 (set-buffer arch-buffer) (save-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15498 (message
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15499 (concat (format "Creating component \"%s(%s)\"...done" ent-name arch-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15500 (and ent-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15501 (format "\n File created: \"%s\"" ent-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15502 (and arch-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15503 (format "\n File created: \"%s\"" arch-file-name))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15504
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15505 (defun vhdl-compose-place-component ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15506 "Place new component by pasting current port as component declaration and
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15507 component instantiation."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15508 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15509 (if (not vhdl-port-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15510 (error "ERROR: No port has been read")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15511 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15512 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15513 (unless (or (re-search-backward "^architecture[ \t\n]+\\w+[ \t\n]+of[ \t\n]+\\(\\w+\\)[ \t\n]+is\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15514 (re-search-forward "^architecture[ \t\n]+\\w+[ \t\n]+of[ \t\n]+\\(\\w+\\)[ \t\n]+is\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15515 (error "ERROR: No architecture found"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15516 (let* ((ent-name (match-string 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15517 (ent-file-name
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15518 (concat (vhdl-replace-string vhdl-entity-file-name ent-name t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15519 "." (file-name-extension (buffer-file-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15520 (orig-buffer (current-buffer)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15521 (message "Placing component \"%s\"..." (nth 0 vhdl-port-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15522 ;; place component declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15523 (unless (or vhdl-use-components-package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15524 (vhdl-use-direct-instantiation)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15525 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15526 (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15527 (concat "^\\s-*component\\s-+"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15528 (car vhdl-port-list) "\\>") nil t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15529 (re-search-forward "^begin\\>" nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15530 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15531 (skip-chars-backward " \t\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15532 (insert "\n\n") (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15533 (vhdl-port-paste-component t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15534 ;; place component instantiation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15535 (re-search-forward "^end\\>" nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15536 (beginning-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15537 (skip-chars-backward " \t\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15538 (insert "\n\n") (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15539 (vhdl-port-paste-instance nil t t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15540 ;; place use clause for used packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15541 (when (nth 3 vhdl-port-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15542 ;; open entity file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15543 (when (file-exists-p ent-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15544 (find-file ent-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15545 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15546 (unless (re-search-forward (concat "^entity[ \t\n]+" ent-name "[ \t\n]+is\\>") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15547 (error "ERROR: Entity not found: \"%s\"" ent-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15548 (goto-char (match-beginning 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15549 (if (and (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15550 (re-search-backward "^\\(library\\|use\\)\\|end\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15551 (match-string 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15552 (progn (goto-char (match-end 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15553 (beginning-of-line 2))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15554 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15555 (backward-char))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15556 (vhdl-port-paste-context-clause)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15557 (switch-to-buffer orig-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15558 (message "Placing component \"%s\"...done" (nth 0 vhdl-port-list)))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15559
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15560 (defun vhdl-compose-wire-components ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15561 "Connect components."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15562 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15563 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15564 (vhdl-prepare-search-2
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15565 (unless (or (re-search-backward "^architecture[ \t\n]+\\w+[ \t\n]+of[ \t\n]+\\(\\w+\\)[ \t\n]+is\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15566 (re-search-forward "^architecture[ \t\n]+\\w+[ \t\n]+of[ \t\n]+\\(\\w+\\)[ \t\n]+is\\>" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15567 (error "ERROR: No architecture found"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15568 (let* ((ent-name (match-string 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15569 (ent-file-name
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15570 (concat (vhdl-replace-string vhdl-entity-file-name ent-name t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15571 "." (file-name-extension (buffer-file-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15572 (arch-decl-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15573 (arch-stat-pos (re-search-forward "^begin\\>" nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15574 (arch-end-pos (re-search-forward "^end\\>" nil))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15575 (pack-name (vhdl-get-components-package-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15576 (pack-file-name
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15577 (concat (vhdl-replace-string vhdl-package-file-name pack-name t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15578 "." (file-name-extension (buffer-file-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15579 inst-name comp-name comp-ent-name comp-ent-file-name has-generic
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15580 port-alist generic-alist inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15581 signal-name signal-entry signal-alist local-list written-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15582 single-in-list multi-in-list single-out-list multi-out-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15583 constant-name constant-entry constant-alist single-list multi-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15584 port-beg-pos port-in-pos port-out-pos port-inst-pos port-end-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15585 generic-beg-pos generic-pos generic-inst-pos generic-end-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15586 signal-beg-pos signal-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15587 constant-temp-pos port-temp-pos signal-temp-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15588 (message "Wiring components...")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15589 ;; process all instances
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15590 (goto-char arch-stat-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15591 (while (re-search-forward
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15592 (concat "^[ \t]*\\(\\w+\\)[ \t\n]*:[ \t\n]*\\("
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15593 "\\(component[ \t\n]+\\)?\\(\\w+\\)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15594 "[ \t\n]+\\(--[^\n]*\n[ \t\n]*\\)*\\(\\(generic\\)\\|port\\)[ \t\n]+map\\|"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15595 "\\(\\(entity\\)\\|configuration\\)[ \t\n]+\\(\\(\\w+\\)\\.\\)?\\(\\w+\\)\\([ \t\n]*(\\(\\w+\\))\\)?"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15596 "[ \t\n]+\\(--[^\n]*\n[ \t\n]*\\)*\\(\\(generic\\)\\|port\\)[ \t\n]+map\\)[ \t\n]*(") arch-end-pos t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15597 (setq inst-name (match-string-no-properties 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15598 comp-name (match-string-no-properties 4)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15599 comp-ent-name (match-string-no-properties 12)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15600 has-generic (or (match-string 7) (match-string 17)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15601 ;; get port ...
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15602 (if comp-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15603 ;; ... from component declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15604 (vhdl-visit-file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15605 (when vhdl-use-components-package pack-file-name) t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15606 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15607 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15608 (unless (re-search-forward (concat "^\\s-*component[ \t\n]+" comp-name "\\>") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15609 (error "ERROR: Component declaration not found: \"%s\"" comp-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15610 (vhdl-port-copy)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15611 ;; ... from entity declaration (direct instantiation)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15612 (setq comp-ent-file-name
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15613 (concat (vhdl-replace-string vhdl-entity-file-name comp-ent-name t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15614 "." (file-name-extension (buffer-file-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15615 (vhdl-visit-file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15616 comp-ent-file-name t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15617 (save-excursion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15618 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15619 (unless (re-search-forward (concat "^\\s-*entity[ \t\n]+" comp-ent-name "\\>") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15620 (error "ERROR: Entity declaration not found: \"%s\"" comp-ent-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15621 (vhdl-port-copy))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15622 (vhdl-port-flatten t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15623 (setq generic-alist (nth 1 vhdl-port-list)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15624 port-alist (nth 2 vhdl-port-list)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15625 vhdl-port-list nil)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15626 (setq constant-alist nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15627 signal-alist nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15628 (when has-generic
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15629 ;; process all constants in generic map
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15630 (vhdl-forward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15631 (while (vhdl-parse-string "\\(\\(\\w+\\)[ \t\n]*=>[ \t\n]*\\)?\\(\\w+\\),?" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15632 (setq constant-name (match-string-no-properties 3))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15633 (setq constant-entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15634 (cons constant-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15635 (if (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15636 (or (aget generic-alist (match-string 2) t)
63490
65b21147737a (zone-mode-update-serial): Don't use `format' on `error' arguments.
Juanma Barranquero <lekktu@gmail.com>
parents: 63456
diff changeset
15637 (error "ERROR: Formal generic \"%s\" mismatch for instance \"%s\"" (match-string 2) inst-name))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15638 (cdar generic-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15639 (setq constant-alist (cons constant-entry constant-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15640 (setq constant-name (downcase constant-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15641 (if (or (member constant-name single-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15642 (member constant-name multi-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15643 (progn (setq single-list (delete constant-name single-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15644 (add-to-list 'multi-list constant-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15645 (add-to-list 'single-list constant-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15646 (unless (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15647 (setq generic-alist (cdr generic-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15648 (vhdl-forward-syntactic-ws))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15649 (vhdl-re-search-forward "\\<port\\s-+map[ \t\n]*(" nil t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15650 ;; process all signals in port map
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15651 (vhdl-forward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15652 (while (vhdl-parse-string "\\(\\(\\w+\\)[ \t\n]*=>[ \t\n]*\\)?\\(\\w+\\),?" t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15653 (setq signal-name (match-string-no-properties 3))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15654 (setq signal-entry (cons signal-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15655 (if (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15656 (or (aget port-alist (match-string 2) t)
63490
65b21147737a (zone-mode-update-serial): Don't use `format' on `error' arguments.
Juanma Barranquero <lekktu@gmail.com>
parents: 63456
diff changeset
15657 (error "ERROR: Formal port \"%s\" mismatch for instance \"%s\"" (match-string 2) inst-name))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15658 (cdar port-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15659 (setq signal-alist (cons signal-entry signal-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15660 (setq signal-name (downcase signal-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15661 (if (equal (upcase (nth 2 signal-entry)) "IN")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15662 ;; input signal
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15663 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15664 ((member signal-name local-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15665 nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15666 ((or (member signal-name single-out-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15667 (member signal-name multi-out-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15668 (setq single-out-list (delete signal-name single-out-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15669 (setq multi-out-list (delete signal-name multi-out-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15670 (add-to-list 'local-list signal-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15671 ((member signal-name single-in-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15672 (setq single-in-list (delete signal-name single-in-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15673 (add-to-list 'multi-in-list signal-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15674 ((not (member signal-name multi-in-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15675 (add-to-list 'single-in-list signal-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15676 ;; output signal
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15677 (cond
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15678 ((member signal-name local-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15679 nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15680 ((or (member signal-name single-in-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15681 (member signal-name multi-in-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15682 (setq single-in-list (delete signal-name single-in-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15683 (setq multi-in-list (delete signal-name multi-in-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15684 (add-to-list 'local-list signal-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15685 ((member signal-name single-out-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15686 (setq single-out-list (delete signal-name single-out-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15687 (add-to-list 'multi-out-list signal-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15688 ((not (member signal-name multi-out-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15689 (add-to-list 'single-out-list signal-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15690 (unless (match-string 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15691 (setq port-alist (cdr port-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15692 (vhdl-forward-syntactic-ws))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15693 (setq inst-alist (cons (list inst-name (nreverse constant-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15694 (nreverse signal-alist)) inst-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15695 ;; prepare signal insertion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15696 (vhdl-goto-marker arch-decl-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15697 (forward-line 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15698 (re-search-forward "^\\s-*-- Internal signal declarations[ \t\n]*-*\n" arch-stat-pos t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15699 (setq signal-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15700 (while (progn (vhdl-forward-syntactic-ws)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15701 (looking-at "signal\\>"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15702 (beginning-of-line 2)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15703 (delete-region signal-pos (point)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15704 (setq signal-beg-pos signal-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15705 ;; open entity file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15706 (when (file-exists-p ent-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15707 (find-file ent-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15708 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15709 (unless (re-search-forward (concat "^entity[ \t\n]+" ent-name "[ \t\n]+is\\>") nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15710 (error "ERROR: Entity not found: \"%s\"" ent-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15711 ;; prepare generic clause insertion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15712 (unless (and (re-search-forward "\\(^\\s-*generic[ \t\n]*(\\)\\|^end\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15713 (match-string 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15714 (goto-char (match-beginning 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15715 (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15716 (insert "generic ();\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15717 (backward-char 4))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15718 (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15719 (setq generic-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15720 (forward-sexp) (end-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15721 (delete-region generic-pos (point)) (delete-char 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15722 (insert "(\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15723 (when multi-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15724 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15725 (indent-to (* 2 vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15726 (insert "-- global generics\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15727 (setq generic-beg-pos (point-marker) generic-pos (point-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15728 generic-inst-pos (point-marker) generic-end-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15729 ;; prepare port clause insertion
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15730 (unless (and (re-search-forward "\\(^\\s-*port[ \t\n]*(\\)\\|^end\\>" nil t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15731 (match-string 1))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15732 (goto-char (match-beginning 0))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15733 (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15734 (insert "port ();\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15735 (backward-char 4))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15736 (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15737 (setq port-in-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15738 (forward-sexp) (end-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15739 (delete-region port-in-pos (point)) (delete-char 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15740 (insert "(\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15741 (when (or multi-in-list multi-out-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15742 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15743 (indent-to (* 2 vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15744 (insert "-- global ports\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15745 (setq port-beg-pos (point-marker) port-in-pos (point-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15746 port-out-pos (point-marker) port-inst-pos (point-marker)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15747 port-end-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15748 ;; insert generics, ports and signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15749 (setq inst-alist (nreverse inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15750 (while inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15751 (setq inst-name (nth 0 (car inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15752 constant-alist (nth 1 (car inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15753 signal-alist (nth 2 (car inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15754 constant-temp-pos generic-inst-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15755 port-temp-pos port-inst-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15756 signal-temp-pos signal-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15757 ;; generics
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15758 (while constant-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15759 (setq constant-name (downcase (caar constant-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15760 constant-entry (car constant-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15761 (cond ((member constant-name written-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15762 nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15763 ((member constant-name multi-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15764 (vhdl-goto-marker generic-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15765 (setq generic-end-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15766 (vhdl-max-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15767 generic-end-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15768 (vhdl-compose-insert-generic constant-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15769 (setq generic-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15770 (add-to-list 'written-list constant-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15771 (t
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15772 (vhdl-goto-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15773 (vhdl-max-marker generic-inst-pos generic-pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15774 (setq generic-end-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15775 (vhdl-compose-insert-generic constant-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15776 (setq generic-inst-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15777 (add-to-list 'written-list constant-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15778 (setq constant-alist (cdr constant-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15779 (when (/= constant-temp-pos generic-inst-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15780 (vhdl-goto-marker (vhdl-max-marker constant-temp-pos generic-pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15781 (insert "\n") (indent-to (* 2 vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15782 (insert "-- generics for \"" inst-name "\"\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15783 (vhdl-goto-marker generic-inst-pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15784 ;; ports and signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15785 (while signal-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15786 (setq signal-name (downcase (caar signal-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15787 signal-entry (car signal-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15788 (cond ((member signal-name written-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15789 nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15790 ((member signal-name multi-in-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15791 (vhdl-goto-marker port-in-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15792 (setq port-end-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15793 (vhdl-max-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15794 port-end-pos (vhdl-compose-insert-port signal-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15795 (setq port-in-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15796 (add-to-list 'written-list signal-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15797 ((member signal-name multi-out-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15798 (vhdl-goto-marker (vhdl-max-marker port-out-pos port-in-pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15799 (setq port-end-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15800 (vhdl-max-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15801 port-end-pos (vhdl-compose-insert-port signal-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15802 (setq port-out-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15803 (add-to-list 'written-list signal-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15804 ((or (member signal-name single-in-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15805 (member signal-name single-out-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15806 (vhdl-goto-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15807 (vhdl-max-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15808 port-inst-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15809 (vhdl-max-marker port-out-pos port-in-pos)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15810 (setq port-end-pos (vhdl-compose-insert-port signal-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15811 (setq port-inst-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15812 (add-to-list 'written-list signal-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15813 ((equal (upcase (nth 2 signal-entry)) "OUT")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15814 (vhdl-goto-marker signal-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15815 (vhdl-compose-insert-signal signal-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15816 (setq signal-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15817 (add-to-list 'written-list signal-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15818 (setq signal-alist (cdr signal-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15819 (when (/= port-temp-pos port-inst-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15820 (vhdl-goto-marker
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15821 (vhdl-max-marker port-temp-pos
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15822 (vhdl-max-marker port-in-pos port-out-pos)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15823 (insert "\n") (indent-to (* 2 vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15824 (insert "-- ports to \"" inst-name "\"\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15825 (vhdl-goto-marker port-inst-pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15826 (when (/= signal-temp-pos signal-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15827 (vhdl-goto-marker signal-temp-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15828 (insert "\n") (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15829 (insert "-- outputs of \"" inst-name "\"\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15830 (vhdl-goto-marker signal-pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15831 (setq inst-alist (cdr inst-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15832 ;; finalize generic/port clause
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15833 (vhdl-goto-marker generic-end-pos) (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15834 (when (= generic-beg-pos generic-end-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15835 (insert "\n") (indent-to (* 2 vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15836 (insert ";") (backward-char))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15837 (insert ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15838 (vhdl-goto-marker port-end-pos) (backward-char)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15839 (when (= port-beg-pos port-end-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15840 (insert "\n") (indent-to (* 2 vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15841 (insert ";") (backward-char))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15842 (insert ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15843 ;; align everything
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15844 (when vhdl-auto-align
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15845 (vhdl-goto-marker generic-beg-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15846 (vhdl-align-region-groups generic-beg-pos generic-end-pos 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15847 (vhdl-align-region-groups port-beg-pos port-end-pos 1)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15848 (vhdl-goto-marker signal-beg-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15849 (vhdl-align-region-groups signal-beg-pos signal-pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15850 (switch-to-buffer (marker-buffer signal-beg-pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15851 (message "Wiring components...done")))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15852
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15853 (defun vhdl-compose-insert-generic (entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15854 "Insert ENTRY as generic declaration."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15855 (let (pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15856 (indent-to (* 2 vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15857 (insert (nth 0 entry) " : " (nth 1 entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15858 (when (nth 2 entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15859 (insert " := " (nth 2 entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15860 (insert ";")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15861 (setq pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15862 (when (and vhdl-include-port-comments (nth 3 entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15863 (vhdl-comment-insert-inline (nth 3 entry) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15864 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15865 pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15866
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15867 (defun vhdl-compose-insert-port (entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15868 "Insert ENTRY as port declaration."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15869 (let (pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15870 (indent-to (* 2 vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15871 (insert (nth 0 entry) " : " (nth 2 entry) " " (nth 3 entry) ";")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15872 (setq pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15873 (when (and vhdl-include-port-comments (nth 4 entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15874 (vhdl-comment-insert-inline (nth 4 entry) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15875 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15876 pos))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15877
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15878 (defun vhdl-compose-insert-signal (entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15879 "Insert ENTRY as signal declaration."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15880 (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15881 (insert "signal " (nth 0 entry) " : " (nth 3 entry) ";")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15882 (when (and vhdl-include-port-comments (nth 4 entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15883 (vhdl-comment-insert-inline (nth 4 entry) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15884 (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15885
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15886 (defun vhdl-compose-components-package ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15887 "Generate a package containing component declarations for all entities in the
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15888 current project/directory."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15889 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15890 (vhdl-require-hierarchy-info)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15891 (let* ((project (vhdl-project-p))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15892 (pack-name (vhdl-get-components-package-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15893 (pack-file-name
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15894 (concat (vhdl-replace-string vhdl-package-file-name pack-name t)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15895 "." (file-name-extension (buffer-file-name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15896 (ent-alist (aget vhdl-entity-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15897 (or project default-directory) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15898 (lazy-lock-minimum-size 0)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15899 clause-pos component-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15900 (message "Generating components package \"%s\"..." pack-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15901 ;; open package file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15902 (when (and (file-exists-p pack-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15903 (not (y-or-n-p (concat "File \"" pack-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15904 "\" exists; overwrite? "))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15905 (error "ERROR: Generating components package...aborted"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15906 (find-file pack-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15907 (erase-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15908 ;; insert header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15909 (if vhdl-compose-include-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15910 (progn (vhdl-template-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15911 (concat "Components package (generated by Emacs VHDL Mode "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15912 vhdl-version ")"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15913 (goto-char (point-max)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15914 (vhdl-comment-display-line) (insert "\n\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15915 ;; insert std_logic_1164 package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15916 (vhdl-template-package-std-logic-1164)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15917 (insert "\n") (setq clause-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15918 (insert "\n") (vhdl-comment-display-line) (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15919 ;; insert package declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15920 (vhdl-insert-keyword "PACKAGE ") (insert pack-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15921 (vhdl-insert-keyword " IS\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15922 (indent-to vhdl-basic-offset) (vhdl-comment-display-line) (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15923 (indent-to vhdl-basic-offset) (insert "-- Component declarations\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15924 (indent-to vhdl-basic-offset) (vhdl-comment-display-line) (insert "\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15925 (indent-to vhdl-basic-offset)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15926 (setq component-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15927 (insert "\n\n") (vhdl-insert-keyword "END ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15928 (unless (vhdl-standard-p '87) (vhdl-insert-keyword "PACKAGE "))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15929 (insert pack-name ";\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15930 ;; insert footer
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15931 (if (and vhdl-compose-include-header (not (equal vhdl-file-footer "")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15932 (vhdl-template-footer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15933 (vhdl-comment-display-line) (insert "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15934 ;; insert component declarations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15935 (while ent-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15936 (vhdl-visit-file (nth 2 (car ent-alist)) nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15937 (progn (goto-line (nth 3 (car ent-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15938 (end-of-line)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15939 (vhdl-port-copy)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15940 (goto-char component-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15941 (vhdl-port-paste-component t)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15942 (when (cdr ent-alist) (insert "\n\n") (indent-to vhdl-basic-offset))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15943 (setq component-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15944 (goto-char clause-pos)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15945 (vhdl-port-paste-context-clause pack-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15946 (setq clause-pos (point-marker))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15947 (setq ent-alist (cdr ent-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15948 (goto-char (point-min))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15949 (save-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15950 (message "Generating components package \"%s\"...done\n File created: \"%s\""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15951 pack-name pack-file-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
15952
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15953 (defun vhdl-compose-configuration-architecture (ent-name arch-name inst-alist
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15954 &optional insert-conf)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15955 "Generate block configuration for architecture."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15956 (let ((margin (current-indentation))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15957 (beg (save-excursion (beginning-of-line) (point)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15958 ent-entry inst-entry inst-path inst-prev-path cons-key tmp-alist)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15959 ;; insert block configuration (for architecture)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15960 (vhdl-insert-keyword "FOR ") (insert arch-name "\n")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15961 (setq margin (+ margin vhdl-basic-offset))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15962 ;; process all instances
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15963 (while inst-alist
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15964 (setq inst-entry (car inst-alist))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15965 ;; is component?
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15966 (when (nth 4 inst-entry)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15967 (setq insert-conf t)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15968 (setq inst-path (nth 9 inst-entry))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15969 ;; skip common path with previous instance
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15970 (while (and inst-path (equal (car inst-path) (car inst-prev-path)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15971 (setq inst-path (cdr inst-path)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15972 inst-prev-path (cdr inst-prev-path)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15973 ;; insert block configuration end (for previous block/generate)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15974 (while inst-prev-path
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15975 (setq margin (- margin vhdl-basic-offset))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15976 (indent-to margin)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15977 (vhdl-insert-keyword "END FOR;\n")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15978 (setq inst-prev-path (cdr inst-prev-path)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15979 ;; insert block configuration beginning (for current block/generate)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15980 (indent-to margin)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15981 (while inst-path
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15982 (setq margin (+ margin vhdl-basic-offset))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15983 (vhdl-insert-keyword "FOR ")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15984 (insert (car inst-path) "\n")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15985 (indent-to margin)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15986 (setq inst-path (cdr inst-path)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15987 ;; insert component configuration beginning
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15988 (vhdl-insert-keyword "FOR ")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15989 (insert (nth 1 inst-entry) " : " (nth 4 inst-entry) "\n")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15990 ;; find subconfiguration
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15991 (setq conf-key (nth 7 inst-entry))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15992 (setq tmp-alist conf-alist)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15993 ;; use first configuration found for instance's entity
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15994 (while (and tmp-alist (null conf-key))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15995 (when (equal (nth 5 inst-entry) (nth 4 (car tmp-alist)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15996 (setq conf-key (nth 0 (car tmp-alist))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15997 (setq tmp-alist (cdr tmp-alist)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15998 (setq conf-entry (aget conf-alist conf-key t))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
15999 ;; insert binding indication ...
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16000 ;; ... with subconfiguration (if exists)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16001 (if (and vhdl-compose-configuration-use-subconfiguration conf-entry)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16002 (progn
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16003 (indent-to (+ margin vhdl-basic-offset))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16004 (vhdl-insert-keyword "USE CONFIGURATION ")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16005 (insert (vhdl-work-library) "." (nth 0 conf-entry))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16006 (insert ";\n"))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16007 ;; ... with entity (if exists)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16008 (setq ent-entry (aget ent-alist (nth 5 inst-entry) t))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16009 (when ent-entry
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16010 (indent-to (+ margin vhdl-basic-offset))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16011 (vhdl-insert-keyword "USE ENTITY ")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16012 (insert (vhdl-work-library) "." (nth 0 ent-entry))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16013 ;; insert architecture name (if architecture exists)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16014 (when (nth 3 ent-entry)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16015 (setq arch-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16016 ;; choose architecture name a) from configuration,
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16017 ;; b) from mra, or c) from first architecture
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16018 (or (nth 0 (aget (nth 3 ent-entry)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16019 (or (nth 6 inst-entry)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16020 (nth 4 ent-entry)) t))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16021 (nth 1 (car (nth 3 ent-entry)))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16022 (insert "(" arch-name ")"))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16023 (insert ";\n")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16024 ;; insert block configuration (for architecture of subcomponent)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16025 (when (and vhdl-compose-configuration-hierarchical
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16026 (nth 3 ent-entry))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16027 (indent-to (+ margin vhdl-basic-offset))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16028 (vhdl-compose-configuration-architecture
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16029 (nth 0 ent-entry) arch-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16030 (nth 3 (aget (nth 3 ent-entry) (downcase arch-name) t))))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16031 ;; insert component configuration end
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16032 (indent-to margin)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16033 (vhdl-insert-keyword "END FOR;\n")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16034 (setq inst-prev-path (nth 9 inst-entry)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16035 (setq inst-alist (cdr inst-alist)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16036 ;; insert block configuration end (for block/generate)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16037 (while inst-prev-path
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16038 (setq margin (- margin vhdl-basic-offset))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16039 (indent-to margin)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16040 (vhdl-insert-keyword "END FOR;\n")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16041 (setq inst-prev-path (cdr inst-prev-path)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16042 (indent-to (- margin vhdl-basic-offset))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16043 ;; insert block configuration end or remove beginning (for architecture)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16044 (if insert-conf
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16045 (vhdl-insert-keyword "END FOR;\n")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16046 (delete-region beg (point)))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16047
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16048 (defun vhdl-compose-configuration (&optional ent-name arch-name)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16049 "Generate configuration declaration."
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16050 (interactive)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16051 (vhdl-require-hierarchy-info)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16052 (let ((ent-alist (aget vhdl-entity-alist
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16053 (or (vhdl-project-p) default-directory) t))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16054 (conf-alist (aget vhdl-config-alist
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16055 (or (vhdl-project-p) default-directory) t))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16056 (from-speedbar ent-name)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16057 inst-alist conf-name conf-file-name pos)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16058 (vhdl-prepare-search-2
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16059 ;; get entity and architecture name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16060 (unless ent-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16061 (save-excursion
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16062 (unless (and (re-search-backward "^\\(architecture\\s-+\\(\\w+\\)\\s-+of\\s-+\\(\\w+\\)\\|end\\)\\>" nil t)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16063 (not (equal "END" (upcase (match-string 1))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16064 (setq ent-name (match-string-no-properties 3))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16065 (setq arch-name (match-string-no-properties 2)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16066 (error "ERROR: Not within an architecture"))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16067 (setq conf-name (vhdl-replace-string
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16068 vhdl-compose-configuration-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16069 (concat ent-name " " arch-name)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16070 (setq inst-alist
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16071 (nth 3 (aget (nth 3 (aget ent-alist (downcase ent-name) t))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16072 (downcase arch-name) t))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16073 (message "Generating configuration \"%s\"..." conf-name)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16074 (if vhdl-compose-configuration-create-file
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16075 ;; open configuration file
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16076 (progn
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16077 (setq conf-file-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16078 (concat (vhdl-replace-string vhdl-configuration-file-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16079 conf-name t)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16080 "." (file-name-extension (buffer-file-name))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16081 (when (and (file-exists-p conf-file-name)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16082 (not (y-or-n-p (concat "File \"" conf-file-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16083 "\" exists; overwrite? "))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16084 (error "ERROR: Creating configuration...aborted"))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16085 (find-file conf-file-name)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16086 (erase-buffer)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16087 (set-buffer-modified-p nil)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16088 ;; insert header
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16089 (if vhdl-compose-include-header
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16090 (progn (vhdl-template-header
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16091 (concat "Configuration declaration for design \""
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16092 ent-name "(" arch-name ")\""))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16093 (goto-char (point-max)))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16094 (vhdl-comment-display-line) (insert "\n\n")))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16095 ;; goto end of architecture
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16096 (unless from-speedbar
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16097 (re-search-forward "^end\\>" nil)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16098 (end-of-line) (insert "\n\n")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16099 (vhdl-comment-display-line) (insert "\n\n")))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16100 ;; insert library clause
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16101 (setq pos (point))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16102 (vhdl-template-standard-package (vhdl-work-library) nil)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16103 (when (/= pos (point))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16104 (insert "\n\n"))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16105 ;; insert configuration
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16106 (vhdl-insert-keyword "CONFIGURATION ") (insert conf-name)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16107 (vhdl-insert-keyword " OF ") (insert ent-name)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16108 (vhdl-insert-keyword " IS\n")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16109 (indent-to vhdl-basic-offset)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16110 ;; insert block configuration (for architecture)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16111 (vhdl-compose-configuration-architecture ent-name arch-name inst-alist t)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16112 (vhdl-insert-keyword "END ") (insert conf-name ";")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16113 (when conf-file-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16114 ;; insert footer and save
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16115 (insert "\n\n")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16116 (if (and vhdl-compose-include-header (not (equal vhdl-file-footer "")))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16117 (vhdl-template-footer)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16118 (vhdl-comment-display-line) (insert "\n"))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16119 (save-buffer))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16120 (message
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16121 (concat (format "Generating configuration \"%s\"...done" conf-name)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16122 (and conf-file-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16123 (format "\n File created: \"%s\"" conf-file-name))))))
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16124
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16125
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16126 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16127 ;;; Compilation / Makefile generation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16128 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16129 ;; (using `compile.el')
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16130
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16131 (defun vhdl-makefile-name ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16132 "Return the Makefile name of the current project or the current compiler if
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16133 no project is defined."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16134 (let ((project-alist (aget vhdl-project-alist vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16135 (compiler-alist (aget vhdl-compiler-alist vhdl-compiler)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16136 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16137 (cons "\\(.*\\)\n\\(.*\\)"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16138 (or (nth 8 project-alist) (nth 8 compiler-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16139 (concat (nth 9 compiler-alist) "\n" (nth 6 project-alist)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16140
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16141 (defun vhdl-compile-directory ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16142 "Return the directory where compilation/make should be run."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16143 (let* ((project (aget vhdl-project-alist (vhdl-project-p t)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16144 (compiler (aget vhdl-compiler-alist vhdl-compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16145 (directory (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16146 (if project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16147 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16148 (cons "\\(.*\\)" (nth 5 project)) (nth 9 compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16149 (nth 6 compiler)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16150 (file-name-as-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16151 (if (file-name-absolute-p directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16152 directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16153 (expand-file-name directory (vhdl-default-directory))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16154
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16155 (defun vhdl-uniquify (in-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16156 "Remove duplicate elements from IN-LIST."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16157 (let (out-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16158 (while in-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16159 (add-to-list 'out-list (car in-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16160 (setq in-list (cdr in-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16161 out-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16162
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16163 (defun vhdl-set-compiler (name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16164 "Set current compiler to NAME."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16165 (interactive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16166 (list (let ((completion-ignore-case t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16167 (completing-read "Compiler name: " vhdl-compiler-alist nil t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16168 (if (assoc name vhdl-compiler-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16169 (progn (setq vhdl-compiler name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16170 (message "Current compiler: \"%s\"" vhdl-compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16171 (vhdl-warning (format "Unknown compiler: \"%s\"" name))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16172
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16173 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16174 ;; Compilation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16175
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16176 (defun vhdl-compile-init ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16177 "Initialize for compilation."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16178 (when (or (null compilation-error-regexp-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16179 (not (assoc (car (nth 11 (car vhdl-compiler-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16180 compilation-error-regexp-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16181 ;; `compilation-error-regexp-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16182 (let ((commands-alist vhdl-compiler-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16183 regexp-alist sublist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16184 (while commands-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16185 (setq sublist (nth 11 (car commands-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16186 (unless (or (equal "" (car sublist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16187 (assoc (car sublist) regexp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16188 (setq regexp-alist (cons (list (nth 0 sublist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16189 (if (= 0 (nth 1 sublist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16190 (if vhdl-xemacs 9 nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16191 (nth 1 sublist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16192 (nth 2 sublist) (nth 3 sublist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16193 regexp-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16194 (setq commands-alist (cdr commands-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16195 (setq compilation-error-regexp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16196 (append compilation-error-regexp-alist (nreverse regexp-alist))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16197 ;; `compilation-file-regexp-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16198 (let ((commands-alist vhdl-compiler-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16199 regexp-alist sublist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16200 ;; matches vhdl-mode file name output
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16201 (setq regexp-alist '(("^Compiling \"\\(.+\\)\"" 1)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16202 (while commands-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16203 (setq sublist (nth 12 (car commands-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16204 (unless (or (equal "" (car sublist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16205 (assoc (car sublist) regexp-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16206 (setq regexp-alist (cons sublist regexp-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16207 (setq commands-alist (cdr commands-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16208 (setq compilation-file-regexp-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16209 (append compilation-file-regexp-alist (nreverse regexp-alist))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16210
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16211 (defvar vhdl-compile-file-name nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16212 "Name of file to be compiled.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16213
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16214 (defun vhdl-compile-print-file-name ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16215 "Function called within `compile' to print out file name for compilers that
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16216 do not print any file names."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16217 (insert "Compiling \"" vhdl-compile-file-name "\"\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16218
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16219 (defun vhdl-get-compile-options (project compiler file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16220 &optional file-options-only)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16221 "Get compiler options. Returning nil means do not compile this file."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16222 (let* ((compiler-options (nth 1 compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16223 (project-entry (aget (nth 4 project) vhdl-compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16224 (project-options (nth 0 project-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16225 (exception-list (and file-name (nth 2 project-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16226 (work-library (vhdl-work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16227 (case-fold-search nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16228 file-options)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16229 (while (and exception-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16230 (not (string-match (caar exception-list) file-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16231 (setq exception-list (cdr exception-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16232 (if (and exception-list (not (cdar exception-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16233 nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16234 (if (and file-options-only (not exception-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16235 'default
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16236 (setq file-options (cdar exception-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16237 ;; insert library name in compiler-specific options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16238 (setq compiler-options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16239 (vhdl-replace-string (cons "\\(.*\\)" compiler-options)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16240 work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16241 ;; insert compiler-specific options in project-specific options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16242 (when project-options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16243 (setq project-options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16244 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16245 (cons "\\(.*\\)\n\\(.*\\)" project-options)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16246 (concat work-library "\n" compiler-options))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16247 ;; insert project-specific options in file-specific options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16248 (when file-options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16249 (setq file-options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16250 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16251 (cons "\\(.*\\)\n\\(.*\\)\n\\(.*\\)" file-options)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16252 (concat work-library "\n" compiler-options "\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16253 project-options))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16254 ;; return options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16255 (or file-options project-options compiler-options)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16256
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16257 (defun vhdl-get-make-options (project compiler)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16258 "Get make options."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16259 (let* ((compiler-options (nth 3 compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16260 (project-entry (aget (nth 4 project) vhdl-compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16261 (project-options (nth 1 project-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16262 (makefile-name (vhdl-makefile-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16263 ;; insert Makefile name in compiler-specific options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16264 (setq compiler-options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16265 (vhdl-replace-string (cons "\\(.*\\)" (nth 3 compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16266 makefile-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16267 ;; insert compiler-specific options in project-specific options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16268 (when project-options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16269 (setq project-options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16270 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16271 (cons "\\(.*\\)\n\\(.*\\)" project-options)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16272 (concat makefile-name "\n" compiler-options))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16273 ;; return options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16274 (or project-options compiler-options)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16275
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16276 (defun vhdl-compile ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16277 "Compile current buffer using the VHDL compiler specified in
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16278 `vhdl-compiler'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16279 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16280 (vhdl-compile-init)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16281 (let* ((project (aget vhdl-project-alist vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16282 (compiler (or (aget vhdl-compiler-alist vhdl-compiler nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16283 (error "ERROR: No such compiler: \"%s\"" vhdl-compiler)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16284 (command (nth 0 compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16285 (file-name (buffer-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16286 (options (vhdl-get-compile-options project compiler file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16287 (default-directory (vhdl-compile-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16288 compilation-process-setup-function)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16289 (unless (file-directory-p default-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16290 (error "ERROR: Compile directory does not exist: \"%s\"" default-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16291 ;; put file name into quotes if it contains spaces
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16292 (when (string-match " " file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16293 (setq file-name (concat "\"" file-name "\"")))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16294 ;; print out file name if compiler does not
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16295 (setq vhdl-compile-file-name (buffer-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16296 (when (and (= 0 (nth 1 (nth 10 compiler)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16297 (= 0 (nth 1 (nth 11 compiler))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16298 (setq compilation-process-setup-function 'vhdl-compile-print-file-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16299 ;; run compilation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16300 (if options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16301 (when command
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16302 (compile (concat command " " options " " file-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16303 (vhdl-warning "Your project settings tell me not to compile this file"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16304
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16305 (defvar vhdl-make-target "all"
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16306 "Default target for `vhdl-make' command.")
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16307
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16308 (defun vhdl-make (&optional target)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16309 "Call make command for compilation of all updated source files (requires
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16310 `Makefile'). Optional argument TARGET allows to compile the design
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16311 specified by a target."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16312 (interactive)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16313 (setq vhdl-make-target
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16314 (or target (read-from-minibuffer "Target: " vhdl-make-target
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16315 vhdl-minibuffer-local-map)))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16316 (vhdl-compile-init)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16317 (let* ((project (aget vhdl-project-alist vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16318 (compiler (or (aget vhdl-compiler-alist vhdl-compiler)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16319 (error "ERROR: No such compiler: \"%s\"" vhdl-compiler)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16320 (command (nth 2 compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16321 (options (vhdl-get-make-options project compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16322 (default-directory (vhdl-compile-directory)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16323 (unless (file-directory-p default-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16324 (error "ERROR: Compile directory does not exist: \"%s\"" default-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16325 ;; run make
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16326 (compile (concat (if (equal command "") "make" command)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16327 " " options " " vhdl-make-target))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16328
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16329 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16330 ;; Makefile generation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16331
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16332 (defun vhdl-generate-makefile ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16333 "Generate `Makefile'."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16334 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16335 (let* ((compiler (or (aget vhdl-compiler-alist vhdl-compiler)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16336 (error "ERROR: No such compiler: \"%s\"" vhdl-compiler)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16337 (command (nth 4 compiler)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16338 ;; generate makefile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16339 (if command
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16340 (let ((default-directory (vhdl-compile-directory)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16341 (compile (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16342 (cons "\\(.*\\) \\(.*\\)" command)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16343 (concat (vhdl-makefile-name) " " (vhdl-work-library)))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16344 (vhdl-generate-makefile-1))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16345
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16346 (defun vhdl-get-packages (lib-alist work-library)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16347 "Get packages from LIB-ALIST that belong to WORK-LIBRARY."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16348 (let (pack-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16349 (while lib-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16350 (when (equal (downcase (caar lib-alist)) (downcase work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16351 (setq pack-list (cons (cdar lib-alist) pack-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16352 (setq lib-alist (cdr lib-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16353 pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16354
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16355 (defun vhdl-generate-makefile-1 ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16356 "Generate Makefile for current project or directory."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16357 ;; scan hierarchy if required
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16358 (if (vhdl-project-p)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16359 (unless (or (assoc vhdl-project vhdl-file-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16360 (vhdl-load-cache vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16361 (vhdl-scan-project-contents vhdl-project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16362 (let ((directory (abbreviate-file-name default-directory)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16363 (unless (or (assoc directory vhdl-file-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16364 (vhdl-load-cache directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16365 (vhdl-scan-directory-contents directory))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16366 (let* ((directory (abbreviate-file-name (vhdl-default-directory)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16367 (project (vhdl-project-p))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16368 (ent-alist (aget vhdl-entity-alist (or project directory) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16369 (conf-alist (aget vhdl-config-alist (or project directory) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16370 (pack-alist (aget vhdl-package-alist (or project directory) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16371 (regexp-list (nth 12 (aget vhdl-compiler-alist vhdl-compiler)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16372 (ent-regexp (cons "\\(.*\\)" (nth 0 regexp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16373 (arch-regexp (cons "\\(.*\\) \\(.*\\)" (nth 1 regexp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16374 (conf-regexp (cons "\\(.*\\)" (nth 2 regexp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16375 (pack-regexp (cons "\\(.*\\)" (nth 3 regexp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16376 (pack-body-regexp (cons "\\(.*\\)" (nth 4 regexp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16377 (adjust-case (nth 5 regexp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16378 (work-library (downcase (vhdl-work-library)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16379 (compile-directory (expand-file-name (vhdl-compile-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16380 default-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16381 (makefile-name (vhdl-makefile-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16382 rule-alist arch-alist inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16383 target-list depend-list unit-list prim-list second-list subcomp-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16384 lib-alist lib-body-alist pack-list all-pack-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16385 ent-key ent-file-name arch-key arch-file-name ent-arch-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16386 conf-key conf-file-name pack-key pack-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16387 ent-entry arch-entry conf-entry pack-entry inst-entry
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16388 pack-body-key pack-body-file-name inst-ent-key inst-conf-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16389 tmp-key tmp-list rule)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16390 ;; check prerequisites
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16391 (unless (file-exists-p compile-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16392 (make-directory compile-directory t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16393 (unless regexp-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16394 (error "Please contact the VHDL Mode maintainer for support of \"%s\""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16395 vhdl-compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16396 (message "Generating makefile \"%s\"..." makefile-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16397 ;; rules for all entities
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16398 (setq tmp-list ent-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16399 (while ent-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16400 (setq ent-entry (car ent-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16401 ent-key (nth 0 ent-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16402 (when (nth 2 ent-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16403 (setq ent-file-name (file-relative-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16404 (nth 2 ent-entry) compile-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16405 arch-alist (nth 4 ent-entry)
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16406 lib-alist (nth 6 ent-entry)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16407 rule (aget rule-alist ent-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16408 target-list (nth 0 rule)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16409 depend-list (nth 1 rule)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16410 second-list nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16411 subcomp-list nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16412 (setq tmp-key (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16413 ent-regexp (funcall adjust-case ent-key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16414 (setq unit-list (cons (cons ent-key tmp-key) unit-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16415 ;; rule target for this entity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16416 (setq target-list (cons ent-key target-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16417 ;; rule dependencies for all used packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16418 (setq pack-list (vhdl-get-packages lib-alist work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16419 (setq depend-list (append depend-list pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16420 (setq all-pack-list pack-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16421 ;; add rule
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16422 (aput 'rule-alist ent-file-name (list target-list depend-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16423 ;; rules for all corresponding architectures
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16424 (while arch-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16425 (setq arch-entry (car arch-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16426 arch-key (nth 0 arch-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16427 ent-arch-key (concat ent-key "-" arch-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16428 arch-file-name (file-relative-name (nth 2 arch-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16429 compile-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16430 inst-alist (nth 4 arch-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16431 lib-alist (nth 5 arch-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16432 rule (aget rule-alist arch-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16433 target-list (nth 0 rule)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16434 depend-list (nth 1 rule))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16435 (setq tmp-key (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16436 arch-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16437 (funcall adjust-case (concat arch-key " " ent-key))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16438 (setq unit-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16439 (cons (cons ent-arch-key tmp-key) unit-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16440 (setq second-list (cons ent-arch-key second-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16441 ;; rule target for this architecture
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16442 (setq target-list (cons ent-arch-key target-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16443 ;; rule dependency for corresponding entity
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16444 (setq depend-list (cons ent-key depend-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16445 ;; rule dependencies for contained component instantiations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16446 (while inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16447 (setq inst-entry (car inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16448 (when (or (null (nth 8 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16449 (equal (downcase (nth 8 inst-entry)) work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16450 (setq inst-ent-key (or (nth 7 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16451 (nth 5 inst-entry)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16452 (setq depend-list (cons inst-ent-key depend-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16453 subcomp-list (cons inst-ent-key subcomp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16454 (setq inst-alist (cdr inst-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16455 ;; rule dependencies for all used packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16456 (setq pack-list (vhdl-get-packages lib-alist work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16457 (setq depend-list (append depend-list pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16458 (setq all-pack-list (append all-pack-list pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16459 ;; add rule
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16460 (aput 'rule-alist arch-file-name (list target-list depend-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16461 (setq arch-alist (cdr arch-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16462 (setq prim-list (cons (list ent-key second-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16463 (append subcomp-list all-pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16464 prim-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16465 (setq ent-alist (cdr ent-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16466 (setq ent-alist tmp-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16467 ;; rules for all configurations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16468 (setq tmp-list conf-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16469 (while conf-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16470 (setq conf-entry (car conf-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16471 conf-key (nth 0 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16472 conf-file-name (file-relative-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16473 (nth 2 conf-entry) compile-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16474 ent-key (nth 4 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16475 arch-key (nth 5 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16476 inst-alist (nth 6 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16477 lib-alist (nth 7 conf-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16478 rule (aget rule-alist conf-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16479 target-list (nth 0 rule)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16480 depend-list (nth 1 rule)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16481 subcomp-list (list ent-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16482 (setq tmp-key (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16483 conf-regexp (funcall adjust-case conf-key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16484 (setq unit-list (cons (cons conf-key tmp-key) unit-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16485 ;; rule target for this configuration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16486 (setq target-list (cons conf-key target-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16487 ;; rule dependency for corresponding entity and architecture
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16488 (setq depend-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16489 (cons ent-key (cons (concat ent-key "-" arch-key) depend-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16490 ;; rule dependencies for used packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16491 (setq pack-list (vhdl-get-packages lib-alist work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16492 (setq depend-list (append depend-list pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16493 ;; rule dependencies for contained component configurations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16494 (while inst-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16495 (setq inst-entry (car inst-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16496 (setq inst-ent-key (nth 2 inst-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16497 ; comp-arch-key (nth 2 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16498 inst-conf-key (nth 4 inst-entry))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16499 (when (equal (downcase (nth 5 inst-entry)) work-library)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16500 (when inst-ent-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16501 (setq depend-list (cons inst-ent-key depend-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16502 subcomp-list (cons inst-ent-key subcomp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16503 ; (when comp-arch-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16504 ; (setq depend-list (cons (concat comp-ent-key "-" comp-arch-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16505 ; depend-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16506 (when inst-conf-key
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16507 (setq depend-list (cons inst-conf-key depend-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16508 subcomp-list (cons inst-conf-key subcomp-list))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16509 (setq inst-alist (cdr inst-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16510 ;; add rule
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16511 (aput 'rule-alist conf-file-name (list target-list depend-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16512 (setq prim-list (cons (list conf-key nil (append subcomp-list pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16513 prim-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16514 (setq conf-alist (cdr conf-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16515 (setq conf-alist tmp-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16516 ;; rules for all packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16517 (setq tmp-list pack-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16518 (while pack-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16519 (setq pack-entry (car pack-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16520 pack-key (nth 0 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16521 pack-body-key nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16522 (when (nth 2 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16523 (setq pack-file-name (file-relative-name (nth 2 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16524 compile-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16525 lib-alist (nth 6 pack-entry) lib-body-alist (nth 10 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16526 rule (aget rule-alist pack-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16527 target-list (nth 0 rule) depend-list (nth 1 rule))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16528 (setq tmp-key (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16529 pack-regexp (funcall adjust-case pack-key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16530 (setq unit-list (cons (cons pack-key tmp-key) unit-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16531 ;; rule target for this package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16532 (setq target-list (cons pack-key target-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16533 ;; rule dependencies for all used packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16534 (setq pack-list (vhdl-get-packages lib-alist work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16535 (setq depend-list (append depend-list pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16536 (setq all-pack-list pack-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16537 ;; add rule
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16538 (aput 'rule-alist pack-file-name (list target-list depend-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16539 ;; rules for this package's body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16540 (when (nth 7 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16541 (setq pack-body-key (concat pack-key "-body")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16542 pack-body-file-name (file-relative-name (nth 7 pack-entry)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16543 compile-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16544 rule (aget rule-alist pack-body-file-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16545 target-list (nth 0 rule)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16546 depend-list (nth 1 rule))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16547 (setq tmp-key (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16548 pack-body-regexp (funcall adjust-case pack-key)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16549 (setq unit-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16550 (cons (cons pack-body-key tmp-key) unit-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16551 ;; rule target for this package's body
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16552 (setq target-list (cons pack-body-key target-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16553 ;; rule dependency for corresponding package declaration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16554 (setq depend-list (cons pack-key depend-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16555 ;; rule dependencies for all used packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16556 (setq pack-list (vhdl-get-packages lib-body-alist work-library))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16557 (setq depend-list (append depend-list pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16558 (setq all-pack-list (append all-pack-list pack-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16559 ;; add rule
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16560 (aput 'rule-alist pack-body-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16561 (list target-list depend-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16562 (setq prim-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16563 (cons (list pack-key (when pack-body-key (list pack-body-key))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16564 all-pack-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16565 prim-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16566 (setq pack-alist (cdr pack-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16567 (setq pack-alist tmp-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16568 ;; generate Makefile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16569 (let* ((project (aget vhdl-project-alist project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16570 (compiler (aget vhdl-compiler-alist vhdl-compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16571 (compiler-id (nth 9 compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16572 (library-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16573 (vhdl-resolve-env-variable
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16574 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16575 (cons "\\(.*\\)" (or (nth 7 project) (nth 7 compiler)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16576 compiler-id)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16577 (makefile-path-name (expand-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16578 makefile-name compile-directory))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16579 (orig-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16580 cell second-list subcomp-list options unit-key unit-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16581 ;; sort lists
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16582 (setq unit-list (vhdl-sort-alist unit-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16583 (setq prim-list (vhdl-sort-alist prim-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16584 (setq tmp-list rule-alist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16585 (while tmp-list ; pre-sort rule targets
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16586 (setq cell (cdar tmp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16587 (setcar cell (sort (car cell) 'string<))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16588 (setq tmp-list (cdr tmp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16589 (setq rule-alist ; sort by first rule target
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16590 (sort rule-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16591 (function (lambda (a b)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16592 (string< (car (cadr a)) (car (cadr b)))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16593 ;; open and clear Makefile
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16594 (set-buffer (find-file-noselect makefile-path-name t t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16595 (erase-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16596 (insert "# -*- Makefile -*-\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16597 "### " (file-name-nondirectory makefile-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16598 " - VHDL Makefile generated by Emacs VHDL Mode " vhdl-version
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16599 "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16600 (if project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16601 (insert "\n# Project : " (nth 0 project))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16602 (insert "\n# Directory : \"" directory "\""))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16603 (insert "\n# Platform : " vhdl-compiler
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16604 "\n# Generated : " (format-time-string "%Y-%m-%d %T ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16605 (user-login-name) "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16606 ;; insert compile and option variable settings
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16607 (insert "\n\n# Define compilation command and options\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16608 "\nCOMPILE = " (nth 0 compiler)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16609 "\nOPTIONS = " (vhdl-get-compile-options project compiler nil)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16610 "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16611 ;; insert library paths
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16612 (setq library-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16613 (directory-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16614 (if (file-name-absolute-p library-directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16615 library-directory
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16616 (file-relative-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16617 (expand-file-name library-directory directory)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16618 compile-directory))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16619 (insert "\n\n# Define library paths\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16620 "\nLIBRARY-" work-library " = " library-directory "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16621 ;; insert variable definitions for all library unit files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16622 (insert "\n\n# Define library unit files\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16623 (setq tmp-list unit-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16624 (while unit-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16625 (insert "\nUNIT-" work-library "-" (caar unit-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16626 " = \\\n\t$(LIBRARY-" work-library ")/" (cdar unit-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16627 (setq unit-list (cdr unit-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16628 ;; insert variable definition for list of all library unit files
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16629 (insert "\n\n\n# Define list of all library unit files\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16630 "\nALL_UNITS =")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16631 (setq unit-list tmp-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16632 (while unit-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16633 (insert " \\\n\t" "$(UNIT-" work-library "-" (caar unit-list) ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16634 (setq unit-list (cdr unit-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16635 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16636 (setq unit-list tmp-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16637 ;; insert `make all' rule
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16638 (insert "\n\n\n# Rule for compiling entire design\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16639 "\nall :"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16640 " \\\n\t\tlibrary"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16641 " \\\n\t\t$(ALL_UNITS)\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16642 ;; insert `make clean' rule
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16643 (insert "\n\n# Rule for cleaning entire design\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16644 "\nclean : "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16645 "\n\t-rm -f $(ALL_UNITS)\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16646 ;; insert `make library' rule
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16647 (insert "\n\n# Rule for creating library directory\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16648 "\nlibrary :"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16649 " \\\n\t\t$(LIBRARY-" work-library ")\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16650 "\n$(LIBRARY-" work-library ") :"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16651 "\n\t"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16652 (vhdl-replace-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16653 (cons "\\(.*\\)\n\\(.*\\)" (nth 5 compiler))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16654 (concat "$(LIBRARY-" work-library ")\n" (vhdl-work-library)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16655 "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16656 ;; insert rule for each library unit
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16657 (insert "\n\n# Rules for compiling single library units and their subhierarchy\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16658 (while prim-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16659 (setq second-list (sort (nth 1 (car prim-list)) 'string<))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16660 (setq subcomp-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16661 (sort (vhdl-uniquify (nth 2 (car prim-list))) 'string<))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16662 (setq unit-key (caar prim-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16663 unit-name (or (nth 0 (aget ent-alist unit-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16664 (nth 0 (aget conf-alist unit-key t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16665 (nth 0 (aget pack-alist unit-key t))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16666 (insert "\n" unit-key)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16667 (unless (equal unit-key unit-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16668 (insert " \\\n" unit-name))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16669 (insert " :"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16670 " \\\n\t\tlibrary"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16671 " \\\n\t\t$(UNIT-" work-library "-" unit-key ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16672 (while second-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16673 (insert " \\\n\t\t$(UNIT-" work-library "-" (car second-list) ")")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16674 (setq second-list (cdr second-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16675 (while subcomp-list
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16676 (when (and (assoc (car subcomp-list) unit-list)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16677 (not (equal unit-key (car subcomp-list))))
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16678 (insert " \\\n\t\t" (car subcomp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16679 (setq subcomp-list (cdr subcomp-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16680 (insert "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16681 (setq prim-list (cdr prim-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16682 ;; insert rule for each library unit file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16683 (insert "\n\n# Rules for compiling single library unit files\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16684 (while rule-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16685 (setq rule (car rule-alist))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16686 ;; get compiler options for this file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16687 (setq options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16688 (vhdl-get-compile-options project compiler (nth 0 rule) t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16689 ;; insert rule if file is supposed to be compiled
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16690 (setq target-list (nth 1 rule)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16691 depend-list (sort (vhdl-uniquify (nth 2 rule)) 'string<))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16692 ;; insert targets
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16693 (setq tmp-list target-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16694 (while target-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16695 (insert "\n$(UNIT-" work-library "-" (car target-list) ")"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16696 (if (cdr target-list) " \\" " :"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16697 (setq target-list (cdr target-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16698 (setq target-list tmp-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16699 ;; insert file name as first dependency
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16700 (insert " \\\n\t\t" (nth 0 rule))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16701 ;; insert dependencies (except if also target or unit does not exist)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16702 (while depend-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16703 (when (and (not (member (car depend-list) target-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16704 (assoc (car depend-list) unit-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16705 (insert " \\\n\t\t"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16706 "$(UNIT-" work-library "-" (car depend-list) ")"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16707 (setq depend-list (cdr depend-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16708 ;; insert compile command
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16709 (if options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16710 (insert "\n\t$(COMPILE) "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16711 (if (eq options 'default) "$(OPTIONS)" options) " "
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16712 (nth 0 rule) "\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16713 (setq tmp-list target-list)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16714 (while target-list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16715 (insert "\n\t@touch $(UNIT-" work-library "-" (car target-list) ")"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16716 (if (cdr target-list) " \\" "\n"))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16717 (setq target-list (cdr target-list)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16718 (setq target-list tmp-list))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16719 (setq rule-alist (cdr rule-alist)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16720 (insert "\n\n### " makefile-name " ends here\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16721 ;; run Makefile generation hook
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16722 (run-hooks 'vhdl-makefile-generation-hook)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16723 (message "Generating makefile \"%s\"...done" makefile-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16724 ;; save and close file
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16725 (if (file-writable-p makefile-path-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16726 (progn (save-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16727 (kill-buffer (current-buffer))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16728 (set-buffer orig-buffer)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16729 (setq file-name-history
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16730 (cons makefile-path-name file-name-history)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16731 (vhdl-warning-when-idle
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16732 (format "File not writable: \"%s\""
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16733 (abbreviate-file-name makefile-path-name)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16734 (switch-to-buffer (current-buffer))))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16735
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
16736
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
16737 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
16738 ;;; Bug reports
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
16739 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16740 ;; (using `reporter.el')
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16741
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16742 (defconst vhdl-mode-help-address
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16743 "Reto Zimmermann <reto@gnu.org>"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16744 "Address for VHDL Mode bug reports.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16745
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16746 (defun vhdl-submit-bug-report ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16747 "Submit via mail a bug report on VHDL Mode."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16748 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16749 ;; load in reporter
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16750 (and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16751 (y-or-n-p "Do you want to submit a report on VHDL Mode? ")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16752 (let ((reporter-prompt-for-summary-p t))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16753 (reporter-submit-bug-report
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16754 vhdl-mode-help-address
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16755 (concat "VHDL Mode " vhdl-version)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16756 (list
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16757 ;; report all important user options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16758 'vhdl-offsets-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16759 'vhdl-comment-only-line-offset
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16760 'tab-width
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16761 'vhdl-electric-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16762 'vhdl-stutter-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16763 'vhdl-indent-tabs-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16764 'vhdl-project-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16765 'vhdl-project
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16766 'vhdl-project-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16767 'vhdl-project-auto-load
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16768 'vhdl-project-sort
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16769 'vhdl-compiler-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16770 'vhdl-compiler
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16771 'vhdl-compile-use-local-error-regexp
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16772 'vhdl-makefile-generation-hook
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16773 'vhdl-default-library
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16774 'vhdl-standard
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16775 'vhdl-basic-offset
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16776 'vhdl-upper-case-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16777 'vhdl-upper-case-types
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16778 'vhdl-upper-case-attributes
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16779 'vhdl-upper-case-enum-values
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16780 'vhdl-upper-case-constants
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16781 'vhdl-use-direct-instantiation
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16782 'vhdl-compose-configuration-name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16783 'vhdl-entity-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16784 'vhdl-architecture-file-name
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16785 'vhdl-configuration-file-name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16786 'vhdl-package-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16787 'vhdl-file-name-case
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16788 'vhdl-electric-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16789 'vhdl-optional-labels
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16790 'vhdl-insert-empty-lines
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16791 'vhdl-argument-list-indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16792 'vhdl-association-list-with-formals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16793 'vhdl-conditions-in-parenthesis
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16794 'vhdl-zero-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16795 'vhdl-one-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16796 'vhdl-file-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16797 'vhdl-file-footer
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16798 'vhdl-company-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16799 'vhdl-copyright-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16800 'vhdl-platform-spec
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16801 'vhdl-date-format
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16802 'vhdl-modify-date-prefix-string
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16803 'vhdl-modify-date-on-saving
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16804 'vhdl-reset-kind
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16805 'vhdl-reset-active-high
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16806 'vhdl-clock-rising-edge
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16807 'vhdl-clock-edge-condition
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16808 'vhdl-clock-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16809 'vhdl-reset-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16810 'vhdl-model-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16811 'vhdl-include-port-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16812 'vhdl-include-direction-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16813 'vhdl-include-type-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16814 'vhdl-include-group-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16815 'vhdl-actual-port-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16816 'vhdl-instance-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16817 'vhdl-testbench-entity-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16818 'vhdl-testbench-architecture-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16819 'vhdl-testbench-configuration-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16820 'vhdl-testbench-dut-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16821 'vhdl-testbench-include-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16822 'vhdl-testbench-declarations
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16823 'vhdl-testbench-statements
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16824 'vhdl-testbench-initialize-signals
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16825 'vhdl-testbench-include-library
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16826 'vhdl-testbench-include-configuration
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16827 'vhdl-testbench-create-files
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16828 'vhdl-testbench-entity-file-name
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16829 'vhdl-testbench-architecture-file-name
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16830 'vhdl-compose-create-files
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16831 'vhdl-compose-configuration-create-file
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16832 'vhdl-compose-configuration-hierarchical
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16833 'vhdl-compose-configuration-use-subconfiguration
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16834 'vhdl-compose-include-header
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16835 'vhdl-compose-architecture-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16836 'vhdl-components-package-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16837 'vhdl-use-components-package
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16838 'vhdl-self-insert-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16839 'vhdl-prompt-for-comments
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16840 'vhdl-inline-comment-column
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16841 'vhdl-end-comment-column
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16842 'vhdl-auto-align
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16843 'vhdl-align-groups
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16844 'vhdl-align-group-separate
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16845 'vhdl-align-same-indent
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16846 'vhdl-highlight-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16847 'vhdl-highlight-names
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16848 'vhdl-highlight-special-words
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16849 'vhdl-highlight-forbidden-words
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16850 'vhdl-highlight-verilog-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16851 'vhdl-highlight-translate-off
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16852 'vhdl-highlight-case-sensitive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16853 'vhdl-special-syntax-alist
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16854 'vhdl-forbidden-words
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16855 'vhdl-forbidden-syntax
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16856 'vhdl-directive-keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16857 'vhdl-speedbar-auto-open
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16858 'vhdl-speedbar-display-mode
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16859 'vhdl-speedbar-scan-limit
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16860 'vhdl-speedbar-jump-to-unit
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16861 'vhdl-speedbar-update-on-saving
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16862 'vhdl-speedbar-save-cache
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16863 'vhdl-speedbar-cache-file-name
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16864 'vhdl-index-menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16865 'vhdl-source-file-menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16866 'vhdl-hideshow-menu
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16867 'vhdl-hide-all-init
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16868 'vhdl-print-two-column
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16869 'vhdl-print-customize-faces
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16870 'vhdl-intelligent-tab
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16871 'vhdl-indent-syntax-based
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16872 'vhdl-word-completion-case-sensitive
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16873 'vhdl-word-completion-in-minibuffer
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16874 'vhdl-underscore-is-part-of-word
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16875 'vhdl-mode-hook)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16876 (function
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16877 (lambda ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16878 (insert
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16879 (if vhdl-special-indent-hook
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16880 (concat "\n@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16881 "vhdl-special-indent-hook is set to '"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16882 (format "%s" vhdl-special-indent-hook)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16883 ".\nPerhaps this is your problem?\n"
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16884 "@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@\n\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16885 "\n"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16886 nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16887 "Hi Reto,"))))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16888
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16889
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16890 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16891 ;;; Documentation
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16892 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16893
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16894 (defconst vhdl-doc-release-notes nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16895 "\
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16896 Release Notes for VHDL Mode 3.33
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16897 ================================
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16898
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16899 - New Features
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16900 - User Options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16901
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16902
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16903 New Features
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16904 ------------
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16905
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16906 CONFIGURATION DECLARATION GENERATION:
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16907 - Automatic generation of a configuration declaration for a design.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16908 (See documentation (`C-c C-h') in section on STRUCTURAL COMPOSITION.)
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16909
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16910
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16911 User Options
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16912 ------------
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16913
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16914 `vhdl-configuration-file-name': (new)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16915 Specify how the configuration file name is obtained.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16916 `vhdl-compose-configuration-name': (new)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16917 Specify how the configuration name is optained.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16918 `vhdl-compose-configuration-create-file': (new)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16919 Specify whether a new file should be created for a configuration.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16920 `vhdl-compose-configuration-hierarchical': (new)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16921 Specify whether hierarchical configurations should be created.
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16922 `vhdl-compose-configuration-use-subconfiguration': (new)
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16923 Specify whether subconfigurations should be used inside configurations.
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16924 ")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16925
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16926
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16927 (defconst vhdl-doc-keywords nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16928 "\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16929 Reserved words in VHDL
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16930 ----------------------
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16931
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16932 VHDL'93 (IEEE Std 1076-1993):
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16933 `vhdl-93-keywords' : keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16934 `vhdl-93-types' : standardized types
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16935 `vhdl-93-attributes' : standardized attributes
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16936 `vhdl-93-enum-values' : standardized enumeration values
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16937 `vhdl-93-functions' : standardized functions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16938 `vhdl-93-packages' : standardized packages and libraries
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16939
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16940 VHDL-AMS (IEEE Std 1076.1):
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16941 `vhdl-ams-keywords' : keywords
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16942 `vhdl-ams-types' : standardized types
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16943 `vhdl-ams-attributes' : standardized attributes
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16944 `vhdl-ams-enum-values' : standardized enumeration values
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16945 `vhdl-ams-functions' : standardized functions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16946
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16947 Math Packages (IEEE Std 1076.2):
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16948 `vhdl-math-types' : standardized types
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16949 `vhdl-math-constants' : standardized constants
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16950 `vhdl-math-functions' : standardized functions
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16951 `vhdl-math-packages' : standardized packages
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16952
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16953 Forbidden words:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16954 `vhdl-verilog-keywords' : Verilog reserved words
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16955
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16956 NOTE: click `mouse-2' on variable names above (not in XEmacs).")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16957
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16958
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16959 (defconst vhdl-doc-coding-style nil
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16960 "\
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16961 For VHDL coding style and naming convention guidelines, see the following
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16962 references:
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16963
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16964 \[1] Ben Cohen.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16965 \"VHDL Coding Styles and Methodologies\".
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16966 Kluwer Academic Publishers, 1999.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16967 http://members.aol.com/vhdlcohen/vhdl/
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16968
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16969 \[2] Michael Keating and Pierre Bricaud.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16970 \"Reuse Methodology Manual, Second Edition\".
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16971 Kluwer Academic Publishers, 1999.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16972 http://www.openmore.com/openmore/rmm2.html
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16973
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16974 \[3] European Space Agency.
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16975 \"VHDL Modelling Guidelines\".
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16976 ftp://ftp.estec.esa.nl/pub/vhdl/doc/ModelGuide.{pdf,ps}
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16977
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16978 Use user options `vhdl-highlight-special-words' and `vhdl-special-syntax-alist'
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16979 to visually support naming conventions.")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16980
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16981
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16982 (defun vhdl-version ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16983 "Echo the current version of VHDL Mode in the minibuffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16984 (interactive)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16985 (message "VHDL Mode %s (%s)" vhdl-version vhdl-time-stamp)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16986 (vhdl-keep-region-active))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16987
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16988 (defun vhdl-doc-variable (variable)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16989 "Display VARIABLE's documentation in *Help* buffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16990 (interactive)
61431
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
16991 (unless vhdl-xemacs
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
16992 (help-setup-xref (list #'vhdl-doc-variable variable) (interactive-p)))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16993 (with-output-to-temp-buffer
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
16994 (if (fboundp 'help-buffer) (help-buffer) "*Help*")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16995 (princ (documentation-property variable 'variable-documentation))
61431
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
16996 (with-current-buffer standard-output
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16997 (help-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16998 (print-help-return-message)))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
16999
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
17000 (defun vhdl-doc-mode ()
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
17001 "Display VHDL Mode documentation in *Help* buffer."
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
17002 (interactive)
61431
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
17003 (unless vhdl-xemacs
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
17004 (help-setup-xref (list #'vhdl-doc-mode) (interactive-p)))
65302
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
17005 (with-output-to-temp-buffer
c4e83cd72e41 Merge vhdl-mode.el version 3.33.6, from Reto Zimmermann <reto@gnu.org>.
Romain Francoise <romain@orebokech.com>
parents: 65241
diff changeset
17006 (if (fboundp 'help-buffer) (help-buffer) "*Help*")
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
17007 (princ mode-name)
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
17008 (princ " mode:\n")
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
17009 (princ (documentation 'vhdl-mode))
61431
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
17010 (with-current-buffer standard-output
50023
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
17011 (help-mode))
3f28dcd281b6 Version 3.32.12 released. Major revision.
Juanma Barranquero <lekktu@gmail.com>
parents: 50020
diff changeset
17012 (print-help-return-message)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
17013
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
17014
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
17015 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
17016
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
17017 (provide 'vhdl-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
17018
61431
bf74e258742e (vhdl-mode-map-init): Don't override default
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 61394
diff changeset
17019 ;; arch-tag: 780d7073-9b5d-4c6c-b0d8-26b28783aba3
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
17020 ;;; vhdl-mode.el ends here