annotate lisp/progmodes/vhdl-mode.el @ 49554:b5fb8cfb32a9

Version 2.2b
author Juanma Barranquero <lekktu@gmail.com>
date Fri, 31 Jan 2003 20:38:52 +0000
parents 2339811a69f0
children 0d8b17d428b5
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1 ;;; vhdl-mode.el --- major mode for editing VHDL code
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3 ;; Copyright (C) 1992,93,94,95,96,97,98,99 Free Software Foundation, Inc.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5 ;; Authors: Reto Zimmermann <mailto:Reto.Zimmermann@iaeth.ch>
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6 ;; <http://www.iis.ee.ethz.ch/~zimmi/>
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7 ;; Rodney J. Whitby <mailto:rwhitby@geocities.com>
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
8 ;; <http://www.geocities.com/SiliconValley/Park/8287/>
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9 ;; Maintainer: VHDL Mode Maintainers <vhdl-mode@geocities.com>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10 ;; <http://www.geocities.com/SiliconValley/Peaks/8287/>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
11 ;; Version: 3.29
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
12 ;; Keywords: languages vhdl
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
13
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
14 ;; This file is part of GNU Emacs.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
15
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
16 ;; GNU Emacs is free software; you can redistribute it and/or modify
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
17 ;; it under the terms of the GNU General Public License as published by
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
18 ;; the Free Software Foundation; either version 2, or (at your option)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
19 ;; any later version.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
20
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
21 ;; GNU Emacs is distributed in the hope that it will be useful,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
22 ;; but WITHOUT ANY WARRANTY; without even the implied warranty of
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
23 ;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
24 ;; GNU General Public License for more details.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
25
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
26 ;; You should have received a copy of the GNU General Public License
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
27 ;; along with GNU Emacs; see the file COPYING. If not, write to the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
28 ;; Free Software Foundation, Inc., 59 Temple Place - Suite 330,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
29 ;; Boston, MA 02111-1307, USA.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
30
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
31 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
32 ;;; Commentary:
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
33 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
34
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
35 ;; This package provides an Emacs major mode for editing VHDL code.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
36 ;; It includes the following features:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
37
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
38 ;; - Highlighting of VHDL syntax
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
39 ;; - Indentation based on versatile syntax analysis
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
40 ;; - Template insertion (electrification) for most VHDL constructs
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
41 ;; - Insertion of customizable VHDL file headers
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
42 ;; - Insertion of user-specified models
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
43 ;; - Word completion (dynamic abbreviations)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
44 ;; - Comprehensive menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
45 ;; - File browser (using Speedbar or index/sources menu)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
46 ;; - Design hierarchy browser (using Speedbar)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
47 ;; - Source file compilation (syntax analysis)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
48 ;; - Postscript printing with fontification
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
49 ;; - Lower and upper case keywords
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
50 ;; - Hiding code of design units
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
51 ;; - Code beautification
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
52 ;; - Port translation and test bench generation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
53 ;; - VHDL'87/'93 and VHDL-AMS supported
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
54 ;; - Fully customizable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
55 ;; - Works under GNU Emacs (Unix and Windows NT/95) and XEmacs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
56 ;; (GNU Emacs is preferred due to higher robustness and functionality)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
57
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
58 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
59 ;; Usage
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
60 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
61
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
62 ;; see below (comment in `vhdl-mode' function) or type `C-c C-h' in Emacs.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
63
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
64 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
65 ;; Emacs Versions
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
66 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
67
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
68 ;; supported: Emacs 20.X (Unix and Windows NT/95), XEmacs 20.X
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
69 ;; tested on: Emacs 20.3, XEmacs 20.4 (marginally)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
70
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
71 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
72 ;; Acknowledgements
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
73 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
74
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
75 ;; Electrification ideas by Bob Pack <rlpst@cislabs.pitt.edu>
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
76 ;; and Steve Grout.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
77
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
78 ;; Fontification approach suggested by Ken Wood <ken@eda.com.au>.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
79 ;; Ideas about alignment from John Wiegley <johnw@borland.com>.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
80
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
81 ;; Many thanks to all the users who sent me bug reports and enhancement
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
82 ;; requests. Colin Marquardt, will you never stop asking for new features :-?
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
83 ;; Thanks to Dan Nicolaescu for reviewing the code and for his valuable hints.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
84 ;; Thanks to Ulf Klaperski for the indentation speedup hint.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
85
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
86 ;; Special thanks go to Wolfgang Fichtner and the crew from the Integrated
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
87 ;; Systems Laboratory, Swiss Federal Institute of Technology Zurich, for
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
88 ;; giving me the opportunity to develop this code.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
89 ;; This work has been funded in part by MICROSWISS, a Microelectronics Program
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
90 ;; of the Swiss Government.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
91
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
92
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
93 ;;; Code:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
94
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
95 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
96 ;;; Variables
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
97 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
98
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
99 ;; help function
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
100 (defun vhdl-custom-set (variable value &rest functions)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
101 "Set variables as in `custom-set-default' and call FUNCTIONS afterwards."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
102 (if (fboundp 'custom-set-default)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
103 (custom-set-default variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
104 (set-default variable value))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
105 (while functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
106 (when (fboundp (car functions)) (funcall (car functions)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
107 (setq functions (cdr functions))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
108
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
109 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
110 ;; User variables
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
111
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
112 (defgroup vhdl nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
113 "Customizations for VHDL Mode."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
114 :prefix "vhdl-"
21651
86fcccceba7b *** empty log message ***
Dan Nicolaescu <done@ece.arizona.edu>
parents: 21466
diff changeset
115 :group 'languages
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
116 :version "20.4" ; comment out for XEmacs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
117 )
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
118
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
119 (defgroup vhdl-mode nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
120 "Customizations for modes."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
121 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
122
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
123 (defcustom vhdl-electric-mode t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
124 "*Non-nil enables electrification (automatic template generation).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
125 If nil, template generators can still be invoked through key bindings and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
126 menu. Is indicated in the modeline by `/e' after the mode name and can be
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
127 toggled by `\\[vhdl-electric-mode]'."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
128 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
129 :group 'vhdl-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
130
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
131 (defcustom vhdl-stutter-mode t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
132 "*Non-nil enables stuttering.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
133 Is indicated in the modeline by `/s' after the mode name and can be toggled
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
134 by `\\[vhdl-stutter-mode]'."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
135 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
136 :group 'vhdl-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
137
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
138 (defcustom vhdl-indent-tabs-mode nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
139 "*Non-nil means indentation can insert tabs.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
140 Overrides local variable `indent-tabs-mode'."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
141 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
142 :group 'vhdl-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
143
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
144
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
145 (defgroup vhdl-project nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
146 "Customizations for projects."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
147 :group 'vhdl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
148
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
149 (defcustom vhdl-project-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
150 '(("example 1" "Project with individual source files"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
151 ("~/example1/vhdl/system.vhd" "~/example1/vhdl/component_*.vhd") "\
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
152 -------------------------------------------------------------------------------
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
153 -- This is a multi-line project description
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
154 -- that can be used as a project dependent part of the file header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
155 ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
156 ("example 2" "Project where source files are located in two directories"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
157 ("$EXAMPLE2/vhdl/components/" "$EXAMPLE2/vhdl/system/") "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
158 ("example 3" "Project where source files are located in some directory trees"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
159 ("-r ~/example3/*/vhdl/") ""))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
160 "*List of projects and their properties.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
161 Name : name of project
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
162 Title : title of project (one-line string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
163 Sources : a) source files : path + \"/\" + file name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
164 b) directory : path + \"/\"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
165 c) directory tree: \"-r \" + path + \"/\"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
166 Description: description of project (multi-line string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
167
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
168 Project name and description are used to insert into the file header (see
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
169 variable `vhdl-file-header').
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
170
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
171 Path and file name can contain wildcards `*' and `?'. Environment variables
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
172 \(e.g. \"$EXAMPLE2\") are resolved.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
173
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
174 The hierarchy browser shows the hierarchy of the design units found in
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
175 `Sources'. If no directories or files are specified, the current directory is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
176 shown.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
177
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
178 NOTE: Reflect the new setting in the choice list of variable `vhdl-project'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
179 by restarting Emacs."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
180 :type '(repeat (list :tag "Project" :indent 2
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
181 (string :tag "Name ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
182 (string :tag "Title")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
183 (repeat :tag "Sources" :indent 4
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
184 (string :format "%v"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
185 (string :tag "Description: (type `C-j' for newline)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
186 :format "%t\n%v")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
187 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
188 (vhdl-custom-set variable value 'vhdl-update-mode-menu))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
189 :group 'vhdl-project)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
190
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
191 (defcustom vhdl-project ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
192 "*Specifies the default for the current project.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
193 Select a project name from the ones defined in variable `vhdl-project-alist'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
194 Is used to determine the project title and description to be inserted in file
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
195 headers and the source files/directories to be scanned in the hierarchy
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
196 browser. The current project can also be changed temporarily in the menu."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
197 :type (let ((project-alist vhdl-project-alist) choice-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
198 (while project-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
199 (setq choice-list (cons (list 'const (car (car project-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
200 choice-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
201 (setq project-alist (cdr project-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
202 (append '(choice (const :tag "None" "") (const :tag "--"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
203 (nreverse choice-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
204 :group 'vhdl-project)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
205
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
206
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
207 (defgroup vhdl-compile nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
208 "Customizations for compilation."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
209 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
210
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
211 (defcustom vhdl-compiler-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
212 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
213 ;; Cadence Design Systems: cv -file test.vhd
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
214 ;; duluth: *E,430 (test.vhd,13): identifier (POSITIV) is not declared
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
215 ("Cadence" "cv -file" "" "" "./"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
216 ("duluth: \\*E,[0-9]+ (\\(.+\\),\\([0-9]+\\)):" 1 2) ("" 0))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
217 ;; Ikos Voyager: analyze test.vhd
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
218 ;; analyze sdrctl.vhd
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
219 ;; E L4/C5: this library unit is inaccessible
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
220 ("Ikos" "analyze" "" "" "./"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
221 ("E L\\([0-9]+\\)/C[0-9]+:" 0 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
222 ("^analyze +\\(.+ +\\)*\\(.+\\)$" 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
223 ;; ModelSim, Model Technology: vcom test.vhd
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
224 ;; ERROR: test.vhd(14): Unknown identifier: positiv
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
225 ;; WARNING[2]: test.vhd(85): Possible infinite loop
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
226 ("ModelSim" "vcom" "" "vmake > Makefile" "./"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
227 ("\\(ERROR\\|WARNING\\)[^:]*: \\(.+\\)(\\([0-9]+\\)):" 2 3) ("" 0))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
228 ;; QuickHDL, Mentor Graphics: qvhcom test.vhd
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
229 ;; ERROR: test.vhd(24): near "dnd": expecting: END
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
230 ;; WARNING[4]: test.vhd(30): A space is required between ...
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
231 ("QuickHDL" "qvhcom" "" "qhmake >! Makefile" "./"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
232 ("\\(ERROR\\|WARNING\\)[^:]*: \\(.+\\)(\\([0-9]+\\)):" 2 3) ("" 0))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
233 ;; Synopsys, VHDL Analyzer: vhdlan test.vhd
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
234 ;; **Error: vhdlan,703 test.vhd(22): OTHERS is not legal in this context.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
235 ("Synopsys" "vhdlan" "" "" "./"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
236 ("\\*\\*Error: vhdlan,[0-9]+ \\(.+\\)(\\([0-9]+\\)):" 1 2) ("" 0))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
237 ;; Vantage: analyze -libfile vsslib.ini -src test.vhd
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
238 ;; Compiling "pcu.vhd" line 1...
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
239 ;; **Error: LINE 499 *** No aggregate value is valid in this context.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
240 ("Vantage" "analyze -libfile vsslib.ini -src" "" "" "./"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
241 ("\\*\\*Error: LINE \\([0-9]+\\) \\*\\*\\*" 0 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
242 ("^ *Compiling \"\\(.+\\)\" " 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
243 ;; Viewlogic: analyze -libfile vsslib.ini -src test.vhd
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
244 ;; Compiling "pcu.vhd" line 1...
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
245 ;; **Error: LINE 499 *** No aggregate value is valid in this context.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
246 ("Viewlogic" "analyze -libfile vsslib.ini -src" "" "" "./"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
247 ("\\*\\*Error: LINE \\([0-9]+\\) \\*\\*\\*" 0 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
248 ("^ *Compiling \"\\(.+\\)\" " 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
249 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
250 "*List of available VHDL compilers and their properties.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
251 Each list entry specifies the following items for a compiler:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
252 Compiler:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
253 Compiler Name : name used in variable `vhdl-compiler' to choose compiler
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
254 Compile Command : command including options used for syntax analysis
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
255 Make Command : command including options used instead of `make' (default)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
256 Generate Makefile: command to generate a Makefile (used by `make' command)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
257 From Directory : directory where compilation is run (must end with '/')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
258 Error Message:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
259 Regexp : regular expression to match error messages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
260 File Subexp Index: index of subexpression that matches the file name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
261 Line Subexp Index: index of subexpression that matches the line number
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
262 File Message:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
263 Regexp : regular expression to match a file name message
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
264 File Subexp Index: index of subexpression that matches the file name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
265
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
266 See also variable `vhdl-compiler-options' to add options to the compile
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
267 command.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
268
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
269 Some compilers do not include the file name in the error message, but print
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
270 out a file name message in advance. In this case, set \"File Subexp Index\"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
271 to 0 and fill out the \"File Message\" entries.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
272
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
273 A compiler is selected for syntax analysis (`\\[vhdl-compile]') by
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
274 assigning its name to variable `vhdl-compiler'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
275
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
276 NOTE: Reflect the new setting in the choice list of variable `vhdl-compiler'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
277 by restarting Emacs."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
278 :type '(repeat (list :tag "Compiler" :indent 2
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
279 (string :tag "Compiler Name ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
280 (string :tag "Compile Command ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
281 (string :tag "Make Command ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
282 (string :tag "Generate Makefile")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
283 (string :tag "From Directory " "./")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
284 (list :tag "Error Message" :indent 4
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
285 (regexp :tag "Regexp ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
286 (integer :tag "File Subexp Index")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
287 (integer :tag "Line Subexp Index"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
288 (list :tag "File Message" :indent 4
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
289 (regexp :tag "Regexp ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
290 (integer :tag "File Subexp Index"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
291 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
292 (vhdl-custom-set variable value 'vhdl-update-mode-menu))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
293 :group 'vhdl-compile)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
294
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
295 (defcustom vhdl-compiler "ModelSim"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
296 "*Specifies the VHDL compiler to be used for syntax analysis.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
297 Select a compiler name from the ones defined in variable `vhdl-compiler-alist'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
298 :type (let ((compiler-alist vhdl-compiler-alist) choice-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
299 (while compiler-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
300 (setq choice-list (cons (list 'const (car (car compiler-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
301 choice-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
302 (setq compiler-alist (cdr compiler-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
303 (append '(choice) (nreverse choice-list)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
304 :group 'vhdl-compile)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
305
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
306 (defcustom vhdl-compiler-options ""
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
307 "*Options to be added to the compile command."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
308 :type 'string
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
309 :group 'vhdl-compile)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
310
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
311
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
312 (defgroup vhdl-style nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
313 "Customizations for code styles."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
314 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
315
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
316 (defcustom vhdl-standard '(87 nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
317 "*VHDL standards used.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
318 Basic standard:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
319 VHDL'87 : IEEE Std 1076-1987
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
320 VHDL'93 : IEEE Std 1076-1993
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
321 Additional standards:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
322 VHDL-AMS : IEEE Std 1076.1 (analog-mixed-signal)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
323 Math Packages: IEEE Std 1076.2 (`math_real', `math_complex')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
324
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
325 NOTE: Activate the new setting in a VHDL buffer using the menu entry
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
326 \"Activate New Customizations\"."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
327 :type '(list (choice :tag "Basic standard"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
328 (const :tag "VHDL'87" 87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
329 (const :tag "VHDL'93" 93))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
330 (set :tag "Additional standards" :indent 2
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
331 (const :tag "VHDL-AMS" ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
332 (const :tag "Math Packages" math)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
333 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
334 (vhdl-custom-set variable value
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
335 'vhdl-template-map-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
336 'vhdl-mode-abbrev-table-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
337 'vhdl-template-construct-alist-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
338 'vhdl-template-package-alist-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
339 'vhdl-update-mode-menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
340 'vhdl-words-init 'vhdl-font-lock-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
341 :group 'vhdl-style)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
342
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
343 (defcustom vhdl-basic-offset 2
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
344 "*Amount of basic offset used for indentation.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
345 This value is used by + and - symbols in `vhdl-offsets-alist'."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
346 :type 'integer
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
347 :group 'vhdl-style)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
348
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
349 (defcustom vhdl-upper-case-keywords nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
350 "*Non-nil means convert keywords to upper case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
351 This is done when typed or expanded or by the fix case functions."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
352 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
353 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
354 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
355 :group 'vhdl-style)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
356
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
357 (defcustom vhdl-upper-case-types nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
358 "*Non-nil means convert standardized types to upper case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
359 This is done when expanded or by the fix case functions."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
360 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
361 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
362 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
363 :group 'vhdl-style)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
364
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
365 (defcustom vhdl-upper-case-attributes nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
366 "*Non-nil means convert standardized attributes to upper case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
367 This is done when expanded or by the fix case functions."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
368 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
369 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
370 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
371 :group 'vhdl-style)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
372
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
373 (defcustom vhdl-upper-case-enum-values nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
374 "*Non-nil means convert standardized enumeration values to upper case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
375 This is done when expanded or by the fix case functions."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
376 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
377 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
378 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
379 :group 'vhdl-style)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
380
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
381 (defcustom vhdl-upper-case-constants t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
382 "*Non-nil means convert standardized constants to upper case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
383 This is done when expanded."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
384 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
385 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
386 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
387 :group 'vhdl-style)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
388
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
389
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
390 (defgroup vhdl-electric nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
391 "Customizations for electrification."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
392 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
393
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
394 (defcustom vhdl-electric-keywords '(vhdl user)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
395 "*Type of keywords for which electrification is enabled.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
396 VHDL keywords: invoke built-in templates
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
397 User keywords: invoke user models (see variable `vhdl-model-alist')"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
398 :type '(set (const :tag "VHDL keywords" vhdl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
399 (const :tag "User keywords" user))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
400 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
401 (vhdl-custom-set variable value 'vhdl-mode-abbrev-table-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
402 :group 'vhdl-electric)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
403
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
404 (defcustom vhdl-optional-labels 'process
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
405 "*Constructs for which labels are to be queried.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
406 Template generators prompt for optional labels for:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
407 None : no constructs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
408 Processes only: processes only (also procedurals in VHDL-AMS)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
409 All constructs: all constructs with optional labels and keyword END"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
410 :type '(choice (const :tag "None" none)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
411 (const :tag "Processes only" process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
412 (const :tag "All constructs" all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
413 :group 'vhdl-electric)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
414
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
415 (defcustom vhdl-insert-empty-lines 'unit
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
416 "*Specifies whether to insert empty lines in some templates.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
417 This improves readability of code. Empty lines are inserted in:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
418 None : no constructs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
419 Design units only: entities, architectures, configurations, packages only
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
420 All constructs : also all constructs with BEGIN...END parts
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
421
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
422 Replaces variable `vhdl-additional-empty-lines'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
423 :type '(choice (const :tag "None" none)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
424 (const :tag "Design units only" unit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
425 (const :tag "All constructs" all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
426 :group 'vhdl-electric)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
427
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
428 (defcustom vhdl-argument-list-indent nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
429 "*Non-nil means indent argument lists relative to opening parenthesis.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
430 That is, argument, association, and port lists start on the same line as the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
431 opening parenthesis and subsequent lines are indented accordingly.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
432 Otherwise, lists start on a new line and are indented as normal code."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
433 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
434 :group 'vhdl-electric)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
435
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
436 (defcustom vhdl-association-list-with-formals t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
437 "*Non-nil means write association lists with formal parameters.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
438 In templates, you are prompted for formal and actual parameters.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
439 If nil, only a list of actual parameters is entered."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
440 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
441 :group 'vhdl-electric)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
442
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
443 (defcustom vhdl-conditions-in-parenthesis nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
444 "*Non-nil means place parenthesis around condition expressions."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
445 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
446 :group 'vhdl-electric)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
447
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
448 (defcustom vhdl-zero-string "'0'"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
449 "*String to use for a logic zero."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
450 :type 'string
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
451 :group 'vhdl-electric)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
452
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
453 (defcustom vhdl-one-string "'1'"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
454 "*String to use for a logic one."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
455 :type 'string
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
456 :group 'vhdl-electric)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
457
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
458
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
459 (defgroup vhdl-header nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
460 "Customizations for file header."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
461 :group 'vhdl-electric)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
462
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
463 (defcustom vhdl-file-header "\
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
464 -------------------------------------------------------------------------------
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
465 -- Title : <title string>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
466 -- Project : <project>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
467 -------------------------------------------------------------------------------
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
468 -- File : <filename>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
469 -- Author : <author>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
470 -- Company : <company>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
471 -- Last update: <date>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
472 -- Platform : <platform>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
473 <projectdesc>-------------------------------------------------------------------------------
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
474 -- Description: <cursor>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
475 -------------------------------------------------------------------------------
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
476 -- Revisions :
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
477 -- Date Version Author Description
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
478 -- <date> 1.0 <login>\tCreated
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
479 -------------------------------------------------------------------------------
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
480
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
481 "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
482 "*String or file to insert as file header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
483 If the string specifies an existing file name, the contents of the file is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
484 inserted, otherwise the string itself is inserted as file header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
485 Type `C-j' for newlines.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
486 If the header contains RCS keywords, they may be written as <RCS>Keyword<RCS>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
487 if the header needs to be version controlled.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
488
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
489 The following keywords for template generation are supported:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
490 <filename> : replaced by the name of the buffer
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
491 <author> : replaced by the user name and email address (customize
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
492 `mail-host-address' or `user-mail-address' if required)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
493 <login> : replaced by user login name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
494 <company> : replaced by contents of variable `vhdl-company-name'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
495 <date> : replaced by the current date
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
496 <project> : replaced by title of current project (`vhdl-project')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
497 <projectdesc>: replaced by description of current project (`vhdl-project')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
498 <platform> : replaced by contents of variable `vhdl-platform-spec'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
499 <... string> : replaced by a queried string (... is the prompt word)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
500 <cursor> : final cursor position
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
501
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
502 The (multi-line) project description <projectdesc> can be used as a project
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
503 dependent part of the file header and can also contain the above keywords."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
504 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
505 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
506
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
507 (defcustom vhdl-file-footer ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
508 "*String or file to insert as file footer.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
509 If the string specifies an existing file name, the contents of the file is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
510 inserted, otherwise the string itself is inserted as file footer (i.e. at
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
511 the end of the file).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
512 Type `C-j' for newlines."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
513 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
514 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
515
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
516 (defcustom vhdl-company-name ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
517 "*Name of company to insert in file header."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
518 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
519 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
520
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
521 (defcustom vhdl-platform-spec ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
522 "*Specification of VHDL platform to insert in file header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
523 The platform specification should contain names and versions of the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
524 simulation and synthesis tools used."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
525 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
526 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
527
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
528 (defcustom vhdl-date-format "%Y/%m/%d"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
529 "*Specifies the date format to use in the header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
530 This string is passed as argument to the command `format-time-string'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
531 For more information on format strings, see the documentation for the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
532 `format-time-string' command (C-h f `format-time-string')."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
533 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
534 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
535
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
536 (defcustom vhdl-modify-date-prefix-string "-- Last update: "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
537 "*Prefix string of modification date in VHDL file header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
538 If actualization of the modification date is called (menu,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
539 `\\[vhdl-template-modify]'), this string is searched and the rest
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
540 of the line replaced by the current date."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
541 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
542 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
543
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
544 (defcustom vhdl-modify-date-on-saving t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
545 "*Non-nil means update the modification date when the buffer is saved.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
546 Calls function `\\[vhdl-template-modify]').
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
547
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
548 NOTE: Activate the new setting in a VHDL buffer using the menu entry
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
549 \"Activate New Customizations\""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
550 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
551 :group 'vhdl-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
552
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
553
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
554 (defgroup vhdl-sequential-process nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
555 "Customizations for sequential processes."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
556 :group 'vhdl-electric)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
557
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
558 (defcustom vhdl-reset-kind 'async
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
559 "*Specifies which kind of reset to use in sequential processes."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
560 :type '(choice (const :tag "None" none)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
561 (const :tag "Synchronous" sync)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
562 (const :tag "Asynchronous" async))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
563 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
564
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
565 (defcustom vhdl-reset-active-high nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
566 "*Non-nil means reset in sequential processes is active high.
42205
7308bbc423d5 Doc fixes.
Pavel Janík <Pavel@Janik.cz>
parents: 26464
diff changeset
567 nil means active low."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
568 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
569 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
570
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
571 (defcustom vhdl-clock-rising-edge t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
572 "*Non-nil means rising edge of clock triggers sequential processes.
42205
7308bbc423d5 Doc fixes.
Pavel Janík <Pavel@Janik.cz>
parents: 26464
diff changeset
573 nil means falling edge."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
574 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
575 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
576
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
577 (defcustom vhdl-clock-edge-condition 'standard
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
578 "*Syntax of the clock edge condition.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
579 Standard: \"clk'event and clk = '1'\"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
580 Function: \"rising_edge(clk)\""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
581 :type '(choice (const :tag "Standard" standard)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
582 (const :tag "Function" function))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
583 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
584
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
585 (defcustom vhdl-clock-name ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
586 "*Name of clock signal to use in templates."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
587 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
588 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
589
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
590 (defcustom vhdl-reset-name ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
591 "*Name of reset signal to use in templates."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
592 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
593 :group 'vhdl-sequential-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
594
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
595
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
596 (defgroup vhdl-model nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
597 "Customizations for user models."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
598 :group 'vhdl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
599
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
600 (defcustom vhdl-model-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
601 '(("example model"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
602 "<label> : process (<clock>, <reset>)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
603 begin -- process <label>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
604 if <reset> = '0' then -- asynchronous reset (active low)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
605 <cursor>
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
606 elsif <clock>'event and <clock> = '1' then -- rising clock edge
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
607 if <enable> = '1' then -- synchronous load
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
608
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
609 end if;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
610 end if;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
611 end process <label>;"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
612 "e" ""))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
613 "*List of user models.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
614 VHDL models (templates) can be specified by the user in this list. They can be
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
615 invoked from the menu, through key bindings (`C-c C-m ...'), or by keyword
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
616 electrification (i.e. overriding existing or creating new keywords, see
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
617 variable `vhdl-electric-keywords').
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
618 Name : name of model (string of words and spaces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
619 String : string or name of file to be inserted as model (newline: `C-j')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
620 Key Binding: key binding to invoke model, added to prefix `C-c C-m'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
621 (must be in double-quotes, examples: \"i\", \"\\C-p\", \"\\M-s\")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
622 Keyword : keyword to invoke model
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
623
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
624 The models can contain prompts to be queried. A prompt is of the form \"<...>\".
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
625 A prompt that appears several times is queried once and replaced throughout
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
626 the model. Special prompts are:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
627 <clock> : name specified in `vhdl-clock-name' (if not empty)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
628 <reset> : name specified in `vhdl-reset-name' (if not empty)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
629 <cursor>: final cursor position
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
630
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
631 If the string specifies an existing file name, the contents of the file is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
632 inserted, otherwise the string itself is inserted.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
633 The code within the models should be correctly indented.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
634 Type `C-j' for newlines.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
635
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
636 NOTE: Activate the new setting in a VHDL buffer using the menu entry
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
637 \"Activate New Customizations\""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
638 :type '(repeat (list :tag "Model" :indent 2
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
639 (string :tag "Name ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
640 (string :tag "String : (type `C-j' for newline)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
641 :format "%t\n%v")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
642 (sexp :tag "Key Binding" x)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
643 (string :tag "Keyword ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
644 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
645 (vhdl-custom-set variable value
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
646 'vhdl-model-map-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
647 'vhdl-model-defun
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
648 'vhdl-mode-abbrev-table-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
649 'vhdl-update-mode-menu))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
650 :group 'vhdl-model)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
651
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
652 (defgroup vhdl-port nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
653 "Customizations for port transformation functions."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
654 :group 'vhdl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
655
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
656 (defcustom vhdl-include-port-comments nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
657 "*Non-nil means include port comments when a port is pasted."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
658 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
659 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
660
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
661 (defcustom vhdl-include-direction-comments nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
662 "*Non-nil means include signal direction in instantiations as comments."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
663 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
664 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
665
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
666 (defconst vhdl-name-doc-string "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
667
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
668 FROM REGEXP is a regular expression matching the formal port name:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
669 `.*' matches the entire name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
670 `\\(...\\)' matches a substring
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
671 TO STRING specifies the string to be inserted as actual port name:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
672 `\\&' means substitute original matched text
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
673 `\\N' means substitute what matched the Nth `\\(...\\)'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
674 Examples:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
675 `.*' `\\&' leaves name as it is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
676 `.*' `\\&_i' attaches `_i' to original name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
677 `\\(.*\\)_[io]$' `\\1' strips off `_i' or `_o' from original name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
678 `.*' `' leaves name empty")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
679
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
680 (defcustom vhdl-actual-port-name '(".*" . "\\&_i")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
681 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
682 "*Specifies how actual port names are obtained from formal port names.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
683 In a component instantiation, an actual port name can be obtained by
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
684 modifying the formal port name (e.g. attaching or stripping off a substring)."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
685 vhdl-name-doc-string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
686 :type '(cons (regexp :tag "From Regexp")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
687 (string :tag "To String "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
688 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
689
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
690 (defcustom vhdl-instance-name '(".*" . "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
691 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
692 "*Specifies how an instance name is obtained.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
693 The instance name can be obtained by modifying the name of the component to be
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
694 instantiated (e.g. attaching or stripping off a substring).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
695 If TO STRING is empty, the instance name is queried."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
696 vhdl-name-doc-string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
697 :type '(cons (regexp :tag "From Regexp")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
698 (string :tag "To String "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
699 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
700
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
701 (defcustom vhdl-testbench-entity-name '(".*" . "\\&_tb")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
702 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
703 "*Specifies how the test bench entity name is obtained.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
704 The entity name of a test bench can be obtained by modifying the name of
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
705 the component to be tested (e.g. attaching or stripping off a substring)."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
706 vhdl-name-doc-string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
707 :type '(cons (regexp :tag "From Regexp")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
708 (string :tag "To String "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
709 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
710
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
711 (defcustom vhdl-testbench-architecture-name '(".*" . "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
712 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
713 "*Specifies how the test bench architecture name is obtained.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
714 The test bench architecture name can be obtained by modifying the name of
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
715 the component to be tested (e.g. attaching or stripping off a substring).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
716 If TO STRING is empty, the architecture name is queried."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
717 vhdl-name-doc-string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
718 :type '(cons (regexp :tag "From Regexp")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
719 (string :tag "To String "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
720 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
721
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
722 (defcustom vhdl-testbench-dut-name '(".*" . "DUT")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
723 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
724 "*Specifies how a DUT instance name is obtained.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
725 The design-under-test instance name (i.e. the component instantiated in the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
726 test bench) can be obtained by modifying the component name (e.g. attaching
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
727 or stripping off a substring)."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
728 vhdl-name-doc-string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
729 :type '(cons (regexp :tag "From Regexp")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
730 (string :tag "To String "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
731 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
733 (defcustom vhdl-testbench-entity-header ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
734 "*String or file to be inserted as test bench entity header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
735 If the string specifies an existing file name, the contents of the file is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
736 inserted, otherwise the string itself is inserted at the beginning of the test
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
737 bench entity template.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
738 Type `C-j' for newlines."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
739 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
740 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
741
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
742 (defcustom vhdl-testbench-architecture-header ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
743 "*String or file to be inserted as test bench architecture header.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
744 If the string specifies an existing file name, the contents of the file is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
745 inserted, otherwise the string itself is inserted at the beginning of the test
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
746 bench architecture template, if a separate file is created for the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
747 architecture.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
748 Type `C-j' for newlines."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
749 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
750 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
751
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
752 (defcustom vhdl-testbench-declarations ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
753 "*String or file to be inserted in the test bench declarative part.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
754 If the string specifies an existing file name, the contents of the file is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
755 inserted, otherwise the string itself is inserted in the test bench
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
756 architecture before the BEGIN keyword.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
757 Type `C-j' for newlines."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
758 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
759 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
760
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
761 (defcustom vhdl-testbench-statements ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
762 "*String or file to be inserted in the test bench statement part.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
763 If the string specifies an existing file name, the contents of the file is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
764 inserted, otherwise the string itself is inserted in the test bench
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
765 architecture before the END keyword.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
766 Type `C-j' for newlines."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
767 :type 'string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
768 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
769
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
770 (defcustom vhdl-testbench-initialize-signals nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
771 "*Non-nil means initialize signals with `0' when declared in test bench."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
772 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
773 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
774
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
775 (defcustom vhdl-testbench-create-files 'single
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
776 "*Specifies whether new files should be created for the test bench.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
777 Test bench entity and architecture are inserted:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
778 None : in current buffer
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
779 Single file : in new single file
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
780 Separate files: in two separate files
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
781 Note that the files have the same name as the contained design unit."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
782 :type '(choice (const :tag "None" none)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
783 (const :tag "Single file" single)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
784 (const :tag "Separate files" separate))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
785 :group 'vhdl-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
786
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
787
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
788 (defgroup vhdl-comment nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
789 "Customizations for comments."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
790 :group 'vhdl)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
791
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
792 (defcustom vhdl-self-insert-comments t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
793 "*Non-nil means various templates automatically insert help comments."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
794 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
795 :group 'vhdl-comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
796
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
797 (defcustom vhdl-prompt-for-comments t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
798 "*Non-nil means various templates prompt for user definable comments."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
799 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
800 :group 'vhdl-comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
801
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
802 (defcustom vhdl-inline-comment-column 40
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
803 "*Column to indent inline comments to.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
804 Overrides local variable `comment-column'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
805
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
806 NOTE: Activate the new setting in a VHDL buffer using the menu entry
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
807 \"Activate New Customizations\""
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
808 :type 'integer
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
809 :group 'vhdl-comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
810
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
811 (defcustom vhdl-end-comment-column 79
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
812 "*End of comment column.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
813 Comments that exceed this column number are wrapped.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
814
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
815 NOTE: Activate the new setting in a VHDL buffer using the menu entry
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
816 \"Activate New Customizations\""
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
817 :type 'integer
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
818 :group 'vhdl-comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
819
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
820 (defvar end-comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
821
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
822
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
823 (defgroup vhdl-align nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
824 "Customizations for alignment."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
825 :group 'vhdl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
826
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
827 (defcustom vhdl-auto-align t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
828 "*Non-nil means align some templates automatically after generation."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
829 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
830 :group 'vhdl-align)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
831
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
832 (defcustom vhdl-align-groups t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
833 "*Non-nil means align groups of code lines separately.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
834 A group of code lines is a region of lines with no empty lines inbetween."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
835 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
836 :group 'vhdl-align)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
837
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
838
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
839 (defgroup vhdl-highlight nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
840 "Customizations for highlighting."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
841 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
842
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
843 (defcustom vhdl-highlight-keywords t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
844 "*Non-nil means highlight VHDL keywords and other standardized words.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
845 The following faces are used:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
846 `font-lock-keyword-face' : keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
847 `font-lock-type-face' : standardized types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
848 `vhdl-font-lock-attribute-face' : standardized attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
849 `vhdl-font-lock-enumvalue-face' : standardized enumeration values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
850 `vhdl-font-lock-function-face' : standardized function and package names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
851
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
852 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
853 entry \"Fontify Buffer\"). XEmacs: turn off and on font locking."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
854 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
855 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
856 (vhdl-custom-set variable value 'vhdl-font-lock-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
857 :group 'vhdl-highlight)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
858
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
859 (defcustom vhdl-highlight-names t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
860 "*Non-nil means highlight declaration names and construct labels.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
861 The following faces are used:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
862 `font-lock-function-name-face' : names in declarations of units,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
863 subprograms, components, as well as labels of VHDL constructs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
864 `font-lock-type-face' : names in type/nature declarations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
865 `vhdl-font-lock-attribute-face' : names in attribute declarations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
866 `font-lock-variable-name-face' : names in declarations of signals,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
867 variables, constants, subprogram parameters, generics, and ports
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
868
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
869 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
870 entry \"Fontify Buffer\"). XEmacs: turn off and on font locking."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
871 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
872 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
873 (vhdl-custom-set variable value 'vhdl-font-lock-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
874 :group 'vhdl-highlight)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
875
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
876 (defcustom vhdl-highlight-special-words nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
877 "*Non-nil means highlight words with special syntax.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
878 The words with syntax and color specified in variable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
879 `vhdl-special-syntax-alist' are highlighted accordingly.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
880 Can be used for visual support of naming conventions.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
881
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
882 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
883 entry \"Fontify Buffer\"). XEmacs: turn off and on font locking."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
884 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
885 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
886 (vhdl-custom-set variable value 'vhdl-font-lock-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
887 :group 'vhdl-highlight)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
888
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
889 (defcustom vhdl-highlight-forbidden-words nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
890 "*Non-nil means highlight forbidden words.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
891 The reserved words specified in variable `vhdl-forbidden-words' or having the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
892 syntax specified in variable `vhdl-forbidden-syntax' are highlighted in a
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
893 warning color (face `vhdl-font-lock-reserved-words-face') to indicate not to
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
894 use them.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
895
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
896 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
897 entry \"Fontify Buffer\"). XEmacs: turn off and on font locking."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
898 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
899 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
900 (vhdl-custom-set variable value
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
901 'vhdl-words-init 'vhdl-font-lock-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
902 :group 'vhdl-highlight)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
903
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
904 (defcustom vhdl-highlight-verilog-keywords nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
905 "*Non-nil means highlight Verilog keywords as reserved words.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
906 Verilog keywords are highlighted in a warning color (face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
907 `vhdl-font-lock-reserved-words-face') to indicate not to use them.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
908
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
909 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
910 entry \"Fontify Buffer\"). XEmacs: turn off and on font locking."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
911 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
912 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
913 (vhdl-custom-set variable value
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
914 'vhdl-words-init 'vhdl-font-lock-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
915 :group 'vhdl-highlight)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
916
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
917 (defcustom vhdl-highlight-translate-off nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
918 "*Non-nil means background-highlight code excluded from translation.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
919 That is, all code between \"-- pragma translate_off\" and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
920 \"-- pragma translate_on\" is highlighted using a different background color
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
921 \(face `vhdl-font-lock-translate-off-face').
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
922 Note: this might slow down on-the-fly fontification (and thus editing).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
923
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
924 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
925 entry \"Fontify Buffer\"). XEmacs: turn off and on font locking."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
926 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
927 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
928 (vhdl-custom-set variable value 'vhdl-font-lock-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
929 :group 'vhdl-highlight)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
930
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
931 (defcustom vhdl-highlight-case-sensitive nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
932 "*Non-nil means consider case for highlighting.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
933 Possible trade-off:
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
934 non-nil also upper-case VHDL words are highlighted, but case of words with
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
935 special syntax is not considered
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
936 nil only lower-case VHDL words are highlighted, but case of words with
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
937 special syntax is considered
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
938 Overrides local variable `font-lock-keywords-case-fold-search'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
939
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
940 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
941 entry \"Fontify Buffer\"). XEmacs: turn off and on font locking."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
942 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
943 :group 'vhdl-highlight)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
944
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
945 (defcustom vhdl-special-syntax-alist nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
946 "*List of special syntax to be highlighted.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
947 If variable `vhdl-highlight-special-words' is non-nil, words with the specified
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
948 syntax (as regular expression) are highlighted in the corresponding color.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
949
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
950 Name : string of words and spaces
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
951 Regexp : regular expression describing word syntax
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
952 (e.g. \"\\\w+_c\" matches word with suffix \"_c\")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
953 Color (light): foreground color for light background
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
954 (matching color examples: Gold3, Grey50, LimeGreen, Tomato,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
955 LightSeaGreen, DodgerBlue, Gold, PaleVioletRed)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
956 Color (dark) : foreground color for dark background
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
957 (matching color examples: BurlyWood1, Grey80, Green, Coral,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
958 AquaMarine2, LightSkyBlue1, Yellow, PaleVioletRed1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
959
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
960 Can be used for visual support of naming conventions, such as highlighting
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
961 different kinds of signals (e.g. \"Clk_c\", \"Rst_r\") or objects (e.g.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
962 \"Signal_s\", \"Variable_v\", \"Constant_c\") by distinguishing them using
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
963 name suffices.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
964 For each entry, a new face is generated with the specified colors and name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
965 \"vhdl-font-lock-\" + name + \"-face\".
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
966
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
967 NOTE: Activate a changed regexp in a VHDL buffer by re-fontifying it (menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
968 entry \"Fontify Buffer\"). XEmacs: turn off and on font locking.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
969 All other changes require restarting Emacs."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
970 :type '(repeat (list :tag "Face" :indent 2
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
971 (string :tag "Name ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
972 (regexp :tag "Regexp " "\\w+_")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
973 (string :tag "Color (light)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
974 (string :tag "Color (dark) ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
975 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
976 (vhdl-custom-set variable value 'vhdl-font-lock-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
977 :group 'vhdl-highlight)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
978
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
979 (defcustom vhdl-forbidden-words '()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
980 "*List of forbidden words to be highlighted.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
981 If variable `vhdl-highlight-forbidden-words' is non-nil, these reserved
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
982 words are highlighted in a warning color to indicate not to use them.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
983
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
984 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
985 entry \"Fontify Buffer\"). XEmacs: turn off and on font locking."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
986 :type '(repeat (string :format "%v"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
987 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
988 (vhdl-custom-set variable value
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
989 'vhdl-words-init 'vhdl-font-lock-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
990 :group 'vhdl-highlight)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
991
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
992 (defcustom vhdl-forbidden-syntax ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
993 "*Syntax of forbidden words to be highlighted.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
994 If variable `vhdl-highlight-forbidden-words' is non-nil, words with this
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
995 syntax are highlighted in a warning color to indicate not to use them.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
996 Can be used to highlight too long identifiers (e.g. \"\\w\\w\\w\\w\\w\\w\\w\\w\\w\\w+\"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
997 highlights identifiers with 10 or more characters).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
998
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
999 NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1000 entry \"Fontify Buffer\"). XEmacs: turn off and on font locking."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1001 :type 'regexp
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1002 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1003 (vhdl-custom-set variable value
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1004 'vhdl-words-init 'vhdl-font-lock-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1005 :group 'vhdl-highlight)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1006
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1007
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1008 (defgroup vhdl-menu nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1009 "Customizations for speedbar and menues."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1010 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1011
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1012 (defcustom vhdl-speedbar nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1013 "*Non-nil means open the speedbar automatically at startup.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1014 Alternatively, the speedbar can be opened from the VHDL menu."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1015 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1016 :group 'vhdl-menu)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1017
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1018 (defcustom vhdl-speedbar-show-hierarchy nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1019 "*Non-nil means open the speedbar as hierarchy browser at startup.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1020 Otherwise, the speedbar is opened as normal file browser."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1021 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1022 :group 'vhdl-menu)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1023
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1024 (defcustom vhdl-speedbar-hierarchy-indent 1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1025 "*Amount of indentation in hierarchy display of subcomponent."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1026 :type 'integer
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1027 :group 'vhdl-menu)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1028
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1029 (defcustom vhdl-index-menu nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1030 "*Non-nil means add an index menu for a source file when loading.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1031 Alternatively, the speedbar can be used. Note that the index menu scans a file
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1032 when it is opened, while speedbar only scans the file upon request.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1033 Does not work under XEmacs."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1034 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1035 :group 'vhdl-menu)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1036
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1037 (defcustom vhdl-source-file-menu nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1038 "*Non-nil means add a menu of all source files in current directory.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1039 Alternatively, the speedbar can be used."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1040 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1041 :group 'vhdl-menu)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1042
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1043 (defcustom vhdl-hideshow-menu nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1044 "*Non-nil means add hideshow menu and functionality.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1045 Hideshow allows hiding code of VHDL design units.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1046 Does not work under XEmacs.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1047
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1048 NOTE: Activate the new setting in a VHDL buffer using the menu entry
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1049 \"Activate New Customizations\""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1050 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1051 :group 'vhdl-menu)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1052
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1053 (defcustom vhdl-hide-all-init nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1054 "*Non-nil means hide all design units initially after a file is loaded."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1055 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1056 :group 'vhdl-menu)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1057
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1058
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1059 (defgroup vhdl-print nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1060 "Customizations for printing."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1061 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1062
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1063 (defcustom vhdl-print-two-column t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1064 "*Non-nil means print code in two columns and landscape format.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1065
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1066 NOTE: Activate the new setting by restarting Emacs.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1067 Overrides `ps-print' settings locally."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1068 :type 'boolean
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1069 :group 'vhdl-print)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1070
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1071 (defcustom vhdl-print-customize-faces t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1072 "*Non-nil means use an optimized set of faces for postscript printing.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1073
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1074 NOTE: Activate the new setting by restarting Emacs.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1075 Overrides `ps-print' settings locally."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1076 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1077 :group 'vhdl-print)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1078
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1079
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1080 (defgroup vhdl-misc nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1081 "Miscellaneous customizations."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1082 :group 'vhdl)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1083
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1084 (defcustom vhdl-intelligent-tab t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1085 "*Non-nil means `TAB' does indentation, word completion and tab insertion.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1086 That is, if preceeding character is part of a word then complete word,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1087 else if not at beginning of line then insert tab,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1088 else if last command was a `TAB' or `RET' then dedent one step,
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1089 else indent current line (i.e. `TAB' is bound to `vhdl-electric-tab').
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1090 If nil, TAB always indents current line (i.e. `TAB' is bound to
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1091 `vhdl-indent-line').
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1092
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1093 NOTE: Activate the new setting in a VHDL buffer using the menu entry
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1094 \"Activate New Customizations\""
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1095 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1096 :group 'vhdl-misc)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1097
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1098 (defcustom vhdl-word-completion-case-sensitive nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1099 "*Non-nil means word completion using `TAB' is case sensitive.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1100 That is, `TAB' completes words that start with the same letters and case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1101 Otherwise, case is ignored."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1102 :type 'boolean
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1103 :group 'vhdl-misc)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1104
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1105 (defcustom vhdl-word-completion-in-minibuffer t
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1106 "*Non-nil enables word completion in minibuffer (for template prompts).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1107
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1108 NOTE: Activate the new setting by restarting Emacs."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1109 :type 'boolean
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1110 :group 'vhdl-misc)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1111
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1112 (defcustom vhdl-underscore-is-part-of-word nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1113 "*Non-nil means consider the underscore character `_' as part of word.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1114 An identifier containing underscores is then treated as a single word in
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1115 select and move operations. All parts of an identifier separated by underscore
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1116 are treated as single words otherwise.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1117
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1118 NOTE: Activate the new setting in a VHDL buffer using the menu entry
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1119 \"Activate New Customizations\""
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1120 :type 'boolean
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1121 :set (lambda (variable value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1122 (vhdl-custom-set variable value 'vhdl-mode-syntax-table-init))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1123 :group 'vhdl-misc)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1124
48465
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
1125 ;; add related general customizations
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
1126 (defgroup vhdl-related
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
1127 (if (string-match "XEmacs" emacs-version)
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
1128 '((ps-print custom-group)
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
1129 (mail-host-address custom-variable)
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
1130 (user-mail-address custom-variable)
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
1131 (line-number-mode custom-variable)
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
1132 (paren-mode custom-variable))
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
1133 '((ps-print custom-group)
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
1134 (mail-host-address custom-variable)
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
1135 (user-mail-address custom-variable)
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
1136 (line-number-mode custom-variable)
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
1137 (paren-showing custom-group)
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
1138 (transient-mark-mode custom-variable)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1139 "Related general customizations."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1140 :group 'vhdl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1141
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1142 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1143 ;; Internal variables
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1144
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1145 (defconst vhdl-version "3.29"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1146 "VHDL Mode version number.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1147
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1148 (defvar vhdl-progress-interval 1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1149 "*Interval used to update progress status during long operations.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1150 If a number, percentage complete gets updated after each interval of
47265
93c6c36e6a0d (vhdl-progress-interval, vhdl-corresponding-begin): Fix spacing.
Juanma Barranquero <lekktu@gmail.com>
parents: 42942
diff changeset
1151 that many seconds. To inhibit all messages, set this variable to nil.")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1152
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1153 (defvar vhdl-inhibit-startup-warnings-p nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1154 "*If non-nil, inhibits start up compatibility warnings.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1155
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1156 (defvar vhdl-strict-syntax-p nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1157 "*If non-nil, all syntactic symbols must be found in `vhdl-offsets-alist'.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1158 If the syntactic symbol for a particular line does not match a symbol
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1159 in the offsets alist, an error is generated, otherwise no error is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1160 reported and the syntactic symbol is ignored.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1161
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1162 (defvar vhdl-echo-syntactic-information-p nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1163 "*If non-nil, syntactic info is echoed when the line is indented.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1164
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1165 (defconst vhdl-offsets-alist-default
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1166 '((string . -1000)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1167 (block-open . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1168 (block-close . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1169 (statement . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1170 (statement-cont . vhdl-lineup-statement-cont)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1171 (statement-block-intro . +)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1172 (statement-case-intro . +)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1173 (case-alternative . +)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1174 (comment . vhdl-lineup-comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1175 (arglist-intro . +)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1176 (arglist-cont . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1177 (arglist-cont-nonempty . vhdl-lineup-arglist)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1178 (arglist-close . vhdl-lineup-arglist)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1179 (entity . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1180 (configuration . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1181 (package . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1182 (architecture . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1183 (package-body . 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1184 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1185 "Default settings for offsets of syntactic elements.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1186 Do not change this constant! See the variable `vhdl-offsets-alist' for
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1187 more information.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1188
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1189 (defvar vhdl-offsets-alist (copy-alist vhdl-offsets-alist-default)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1190 "*Association list of syntactic element symbols and indentation offsets.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1191 As described below, each cons cell in this list has the form:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1192
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1193 (SYNTACTIC-SYMBOL . OFFSET)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1194
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1195 When a line is indented, `vhdl-mode' first determines the syntactic
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1196 context of the line by generating a list of symbols called syntactic
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1197 elements. This list can contain more than one syntactic element and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1198 the global variable `vhdl-syntactic-context' contains the context list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1199 for the line being indented. Each element in this list is actually a
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1200 cons cell of the syntactic symbol and a buffer position. This buffer
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1201 position is call the relative indent point for the line. Some
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1202 syntactic symbols may not have a relative indent point associated with
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1203 them.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1204
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1205 After the syntactic context list for a line is generated, `vhdl-mode'
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1206 calculates the absolute indentation for the line by looking at each
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1207 syntactic element in the list. First, it compares the syntactic
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1208 element against the SYNTACTIC-SYMBOL's in `vhdl-offsets-alist'. When it
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1209 finds a match, it adds the OFFSET to the column of the relative indent
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1210 point. The sum of this calculation for each element in the syntactic
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1211 list is the absolute offset for line being indented.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1212
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1213 If the syntactic element does not match any in the `vhdl-offsets-alist',
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1214 an error is generated if `vhdl-strict-syntax-p' is non-nil, otherwise
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1215 the element is ignored.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1216
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1217 Actually, OFFSET can be an integer, a function, a variable, or one of
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1218 the following symbols: `+', `-', `++', or `--'. These latter
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1219 designate positive or negative multiples of `vhdl-basic-offset',
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1220 respectively: *1, *-1, *2, and *-2. If OFFSET is a function, it is
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1221 called with a single argument containing the cons of the syntactic
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1222 element symbol and the relative indent point. The function should
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1223 return an integer offset.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1224
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1225 Here is the current list of valid syntactic element symbols:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1226
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1227 string -- inside multi-line string
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1228 block-open -- statement block open
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1229 block-close -- statement block close
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1230 statement -- a VHDL statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1231 statement-cont -- a continuation of a VHDL statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1232 statement-block-intro -- the first line in a new statement block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1233 statement-case-intro -- the first line in a case alternative block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1234 case-alternative -- a case statement alternative clause
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1235 comment -- a line containing only a comment
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1236 arglist-intro -- the first line in an argument list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1237 arglist-cont -- subsequent argument list lines when no
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1238 arguments follow on the same line as the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1239 the arglist opening paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1240 arglist-cont-nonempty -- subsequent argument list lines when at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1241 least one argument follows on the same
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1242 line as the arglist opening paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1243 arglist-close -- the solo close paren of an argument list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1244 entity -- inside an entity declaration
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1245 configuration -- inside a configuration declaration
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1246 package -- inside a package declaration
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1247 architecture -- inside an architecture body
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1248 package-body -- inside a package body")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1249
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1250 (defvar vhdl-comment-only-line-offset 0
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1251 "*Extra offset for line which contains only the start of a comment.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1252 Can contain an integer or a cons cell of the form:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1253
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1254 (NON-ANCHORED-OFFSET . ANCHORED-OFFSET)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1255
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1256 Where NON-ANCHORED-OFFSET is the amount of offset given to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1257 non-column-zero anchored comment-only lines, and ANCHORED-OFFSET is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1258 the amount of offset to give column-zero anchored comment-only lines.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1259 Just an integer as value is equivalent to (<val> . 0)")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1260
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1261 (defvar vhdl-special-indent-hook nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1262 "*Hook for user defined special indentation adjustments.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1263 This hook gets called after a line is indented by the mode.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1264
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1265 (defvar vhdl-style-alist
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1266 '(("IEEE"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1267 (vhdl-basic-offset . 4)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1268 (vhdl-offsets-alist . ())
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1269 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1270 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1271 "Styles of Indentation.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1272 Elements of this alist are of the form:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1273
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1274 (STYLE-STRING (VARIABLE . VALUE) [(VARIABLE . VALUE) ...])
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1275
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1276 where STYLE-STRING is a short descriptive string used to select a
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1277 style, VARIABLE is any `vhdl-mode' variable, and VALUE is the intended
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1278 value for that variable when using the selected style.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1279
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1280 There is one special case when VARIABLE is `vhdl-offsets-alist'. In this
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1281 case, the VALUE is a list containing elements of the form:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1282
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1283 (SYNTACTIC-SYMBOL . VALUE)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1284
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1285 as described in `vhdl-offsets-alist'. These are passed directly to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1286 `vhdl-set-offset' so there is no need to set every syntactic symbol in
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1287 your style, only those that are different from the default.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1288
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1289 ;; dynamically append the default value of most variables
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1290 (or (assoc "Default" vhdl-style-alist)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1291 (let* ((varlist '(vhdl-inhibit-startup-warnings-p
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1292 vhdl-strict-syntax-p
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1293 vhdl-echo-syntactic-information-p
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1294 vhdl-basic-offset
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1295 vhdl-offsets-alist
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1296 vhdl-comment-only-line-offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1297 (default (cons "Default"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1298 (mapcar
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1299 (function
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1300 (lambda (var)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1301 (cons var (symbol-value var))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1302 varlist))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1303 (setq vhdl-style-alist (cons default vhdl-style-alist))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1304
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1305 (defvar vhdl-mode-hook nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1306 "*Hook called by `vhdl-mode'.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1307
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1308
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1309 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1310 ;; Compatibility
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1311
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1312 (defvar vhdl-startup-warnings nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1313 "Warnings to tell the user during start up.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1314
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1315 (defun vhdl-print-warnings ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1316 "Print out messages in variable `vhdl-startup-warnings'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1317 (let ((warnings vhdl-startup-warnings))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1318 (while warnings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1319 (message (concat "WARNING: " (car warnings)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1320 (setq warnings (cdr warnings))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1321 (when (> (length vhdl-startup-warnings) 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1322 (message "WARNING: See warning messages in *Messages* buffer.")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1323
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1324 (defun vhdl-add-warning (string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1325 "Add STRING to warning list `vhdl-startup-warnings'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1326 (setq vhdl-startup-warnings (cons string vhdl-startup-warnings)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1327
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1328 ;; Perform compatibility checks.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1329 (when (not (stringp vhdl-compiler)) ; changed format of `vhdl-compiler'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1330 (setq vhdl-compiler "ModelSim")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1331 (vhdl-add-warning "Variable `vhdl-compiler' has changed format; customize again"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1332 (when (not (listp vhdl-standard)) ; changed format of `vhdl-standard'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1333 (setq vhdl-standard '(87 nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1334 (vhdl-add-warning "Variable `vhdl-standard' has changed format; customize again"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1335 (when (= (length (car vhdl-model-alist)) 3)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1336 (let ((old-alist vhdl-model-alist) ; changed format of `vhdl-model-alist'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1337 new-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1338 (while old-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1339 (setq new-alist (cons (append (car old-alist) '("")) new-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1340 (setq old-alist (cdr old-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1341 (setq vhdl-model-alist (nreverse new-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1342 (when (= (length (car vhdl-project-alist)) 3)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1343 (let ((old-alist vhdl-project-alist) ; changed format of `vhdl-project-alist'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1344 new-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1345 (while old-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1346 (setq new-alist (cons (append (car old-alist) '("")) new-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1347 (setq old-alist (cdr old-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1348 (setq vhdl-project-alist (nreverse new-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1349
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1350 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1351 ;; Help functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1352
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1353 (defsubst vhdl-standard-p (standard)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1354 "Check if STANDARD is specified as used standard."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1355 (or (eq standard (car vhdl-standard))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1356 (memq standard (cadr vhdl-standard))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1357
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1358 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1359 ;; Required packages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1360
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1361 (require 'assoc)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1362
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1363
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1364 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1365 ;;; Emacs variant handling
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1366 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1367
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1368 ;; active regions
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1369
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1370 (defun vhdl-keep-region-active ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1371 "Do whatever is necessary to keep the region active in XEmacs.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1372 Ignore byte-compiler warnings you might see."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1373 (and (boundp 'zmacs-region-stays)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1374 (setq zmacs-region-stays t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1375
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1376 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1377 ;; XEmacs hacks
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1378
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1379 (unless (fboundp 'wildcard-to-regexp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1380 (defun wildcard-to-regexp (wildcard)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1381 "Simplified version of `wildcard-to-regexp' from Emacs' `files.el'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1382 (let* ((i (string-match "[*?]" wildcard))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1383 (result (substring wildcard 0 i))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1384 (len (length wildcard)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1385 (when i
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1386 (while (< i len)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1387 (let ((ch (aref wildcard i)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1388 (setq result (concat result
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1389 (cond ((eq ch ?*) "[^\000]*")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1390 ((eq ch ??) "[^\000]")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1391 (t (char-to-string ch)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1392 (setq i (1+ i)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1393 (concat "\\`" result "\\'"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1394
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1395
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1396 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1397 ;;; Bindings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1398 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1399
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1400 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1401 ;; Key bindings
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1402
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1403 (defvar vhdl-template-map ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1404 "Keymap for VHDL templates.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1405
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1406 (defun vhdl-template-map-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1407 "Initialize `vhdl-template-map'."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1408 (setq vhdl-template-map (make-sparse-keymap))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1409 ;; key bindings for VHDL templates
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1410 (define-key vhdl-template-map "al" 'vhdl-template-alias)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1411 (define-key vhdl-template-map "ar" 'vhdl-template-architecture)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1412 (define-key vhdl-template-map "at" 'vhdl-template-assert)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1413 (define-key vhdl-template-map "ad" 'vhdl-template-attribute-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1414 (define-key vhdl-template-map "as" 'vhdl-template-attribute-spec)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1415 (define-key vhdl-template-map "bl" 'vhdl-template-block)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1416 (define-key vhdl-template-map "ca" 'vhdl-template-case-is)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1417 (define-key vhdl-template-map "cd" 'vhdl-template-component-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1418 (define-key vhdl-template-map "ci" 'vhdl-template-component-inst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1419 (define-key vhdl-template-map "cs" 'vhdl-template-conditional-signal-asst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1420 (define-key vhdl-template-map "Cb" 'vhdl-template-block-configuration)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1421 (define-key vhdl-template-map "Cc" 'vhdl-template-component-conf)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1422 (define-key vhdl-template-map "Cd" 'vhdl-template-configuration-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1423 (define-key vhdl-template-map "Cs" 'vhdl-template-configuration-spec)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1424 (define-key vhdl-template-map "co" 'vhdl-template-constant)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1425 (define-key vhdl-template-map "di" 'vhdl-template-disconnect)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1426 (define-key vhdl-template-map "el" 'vhdl-template-else)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1427 (define-key vhdl-template-map "ei" 'vhdl-template-elsif)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1428 (define-key vhdl-template-map "en" 'vhdl-template-entity)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1429 (define-key vhdl-template-map "ex" 'vhdl-template-exit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1430 (define-key vhdl-template-map "fi" 'vhdl-template-file)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1431 (define-key vhdl-template-map "fg" 'vhdl-template-for-generate)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1432 (define-key vhdl-template-map "fl" 'vhdl-template-for-loop)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1433 (define-key vhdl-template-map "\C-f" 'vhdl-template-footer)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1434 (define-key vhdl-template-map "fb" 'vhdl-template-function-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1435 (define-key vhdl-template-map "fd" 'vhdl-template-function-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1436 (define-key vhdl-template-map "ge" 'vhdl-template-generic)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1437 (define-key vhdl-template-map "gd" 'vhdl-template-group-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1438 (define-key vhdl-template-map "gt" 'vhdl-template-group-template)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1439 (define-key vhdl-template-map "\C-h" 'vhdl-template-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1440 (define-key vhdl-template-map "ig" 'vhdl-template-if-generate)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1441 (define-key vhdl-template-map "it" 'vhdl-template-if-then)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1442 (define-key vhdl-template-map "li" 'vhdl-template-library)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1443 (define-key vhdl-template-map "lo" 'vhdl-template-bare-loop)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1444 (define-key vhdl-template-map "\C-m" 'vhdl-template-modify)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1445 (define-key vhdl-template-map "\C-t" 'vhdl-template-insert-date)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1446 (define-key vhdl-template-map "ma" 'vhdl-template-map)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1447 (define-key vhdl-template-map "ne" 'vhdl-template-next)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1448 (define-key vhdl-template-map "ot" 'vhdl-template-others)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1449 (define-key vhdl-template-map "Pd" 'vhdl-template-package-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1450 (define-key vhdl-template-map "Pb" 'vhdl-template-package-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1451 (define-key vhdl-template-map "(" 'vhdl-template-paired-parens)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1452 (define-key vhdl-template-map "po" 'vhdl-template-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1453 (define-key vhdl-template-map "pb" 'vhdl-template-procedure-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1454 (define-key vhdl-template-map "pd" 'vhdl-template-procedure-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1455 (define-key vhdl-template-map "pc" 'vhdl-template-process-comb)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1456 (define-key vhdl-template-map "ps" 'vhdl-template-process-seq)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1457 (define-key vhdl-template-map "rp" 'vhdl-template-report)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1458 (define-key vhdl-template-map "rt" 'vhdl-template-return)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1459 (define-key vhdl-template-map "ss" 'vhdl-template-selected-signal-asst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1460 (define-key vhdl-template-map "si" 'vhdl-template-signal)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1461 (define-key vhdl-template-map "su" 'vhdl-template-subtype)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1462 (define-key vhdl-template-map "ty" 'vhdl-template-type)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1463 (define-key vhdl-template-map "us" 'vhdl-template-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1464 (define-key vhdl-template-map "va" 'vhdl-template-variable)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1465 (define-key vhdl-template-map "wa" 'vhdl-template-wait)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1466 (define-key vhdl-template-map "wl" 'vhdl-template-while-loop)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1467 (define-key vhdl-template-map "wi" 'vhdl-template-with)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1468 (define-key vhdl-template-map "wc" 'vhdl-template-clocked-wait)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1469 (define-key vhdl-template-map "\C-pb" 'vhdl-template-package-numeric-bit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1470 (define-key vhdl-template-map "\C-pn" 'vhdl-template-package-numeric-std)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1471 (define-key vhdl-template-map "\C-ps" 'vhdl-template-package-std-logic-1164)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1472 (define-key vhdl-template-map "\C-pA" 'vhdl-template-package-std-logic-arith)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1473 (define-key vhdl-template-map "\C-pM" 'vhdl-template-package-std-logic-misc)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1474 (define-key vhdl-template-map "\C-pS" 'vhdl-template-package-std-logic-signed)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1475 (define-key vhdl-template-map "\C-pT" 'vhdl-template-package-std-logic-textio)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1476 (define-key vhdl-template-map "\C-pU" 'vhdl-template-package-std-logic-unsigned)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1477 (define-key vhdl-template-map "\C-pt" 'vhdl-template-package-textio)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1478 (define-key vhdl-template-map "\C-dn" 'vhdl-template-directive-translate-on)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1479 (define-key vhdl-template-map "\C-df" 'vhdl-template-directive-translate-off)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1480 (define-key vhdl-template-map "\C-dN" 'vhdl-template-directive-synthesis-on)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1481 (define-key vhdl-template-map "\C-dF" 'vhdl-template-directive-synthesis-off)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1482 (define-key vhdl-template-map "\C-q" 'vhdl-template-search-prompt)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1483 (when (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1484 (define-key vhdl-template-map "br" 'vhdl-template-break)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1485 (define-key vhdl-template-map "cu" 'vhdl-template-case-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1486 (define-key vhdl-template-map "iu" 'vhdl-template-if-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1487 (define-key vhdl-template-map "lm" 'vhdl-template-limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1488 (define-key vhdl-template-map "na" 'vhdl-template-nature)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1489 (define-key vhdl-template-map "pa" 'vhdl-template-procedural)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1490 (define-key vhdl-template-map "qf" 'vhdl-template-quantity-free)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1491 (define-key vhdl-template-map "qb" 'vhdl-template-quantity-branch)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1492 (define-key vhdl-template-map "qs" 'vhdl-template-quantity-source)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1493 (define-key vhdl-template-map "sn" 'vhdl-template-subnature)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1494 (define-key vhdl-template-map "te" 'vhdl-template-terminal)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1495 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1496 (when (vhdl-standard-p 'math)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1497 (define-key vhdl-template-map "\C-pc" 'vhdl-template-package-math-complex)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1498 (define-key vhdl-template-map "\C-pr" 'vhdl-template-package-math-real)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1499 ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1500
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1501 ;; initialize template map for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1502 (vhdl-template-map-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1503
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1504 (defun vhdl-function-name (prefix string &optional postfix)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1505 "Generate a Lisp function name.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1506 PREFIX, STRING and optional POSTFIX are concatenated by '-' and spaces in
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1507 STRING are replaced by `-' and substrings are converted to lower case."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1508 (let ((name prefix))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1509 (while (string-match "\\(\\w+\\)\\s-*\\(.*\\)" string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1510 (setq name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1511 (concat name "-" (downcase (substring string 0 (match-end 1)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1512 (setq string (substring string (match-beginning 2))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1513 (when postfix (setq name (concat name "-" postfix)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1514 (intern name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1515
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1516 (defvar vhdl-model-map ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1517 "Keymap for VHDL models.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1518
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1519 (defun vhdl-model-map-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1520 "Initialize `vhdl-model-map'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1521 (setq vhdl-model-map (make-sparse-keymap))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1522 ;; key bindings for VHDL models
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1523 (let ((model-alist vhdl-model-alist) model)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1524 (while model-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1525 (setq model (car model-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1526 (define-key vhdl-model-map (nth 2 model)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1527 (vhdl-function-name "vhdl-model" (nth 0 model)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1528 (setq model-alist (cdr model-alist)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1529
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1530 ;; initialize user model map for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1531 (vhdl-model-map-init)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1532
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1533 (defvar vhdl-mode-map ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1534 "Keymap for VHDL Mode.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1535
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1536 (defun vhdl-mode-map-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1537 "Initialize `vhdl-mode-map'."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1538 (setq vhdl-mode-map (make-sparse-keymap))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1539 ;; template key bindings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1540 (define-key vhdl-mode-map "\C-c\C-t" vhdl-template-map)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1541 ;; model key bindings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1542 (define-key vhdl-mode-map "\C-c\C-m" vhdl-model-map)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1543 ;; standard key bindings
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1544 (define-key vhdl-mode-map "\M-a" 'vhdl-beginning-of-statement)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1545 (define-key vhdl-mode-map "\M-e" 'vhdl-end-of-statement)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1546 (define-key vhdl-mode-map "\M-\C-f" 'vhdl-forward-sexp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1547 (define-key vhdl-mode-map "\M-\C-b" 'vhdl-backward-sexp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1548 (define-key vhdl-mode-map "\M-\C-u" 'vhdl-backward-up-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1549 (define-key vhdl-mode-map "\M-\C-a" 'vhdl-beginning-of-defun)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1550 (define-key vhdl-mode-map "\M-\C-e" 'vhdl-end-of-defun)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1551 (define-key vhdl-mode-map "\M-\C-h" 'vhdl-mark-defun)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1552 (define-key vhdl-mode-map "\M-\C-q" 'vhdl-indent-sexp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1553 ;; backspace/delete key bindings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1554 (define-key vhdl-mode-map [backspace] 'backward-delete-char-untabify)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1555 (define-key vhdl-mode-map [delete] 'delete-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1556 (unless (string-match "XEmacs" emacs-version)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1557 (define-key vhdl-mode-map [M-delete] 'kill-word))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1558 ;; mode specific key bindings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1559 (define-key vhdl-mode-map "\C-c\C-e" 'vhdl-electric-mode)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1560 (define-key vhdl-mode-map "\C-c\C-s" 'vhdl-stutter-mode)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1561 (define-key vhdl-mode-map "\C-c\C-k" 'vhdl-compile)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1562 (define-key vhdl-mode-map "\C-c\M-\C-k" 'vhdl-make)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1563 (define-key vhdl-mode-map "\C-c\C-p\C-w" 'vhdl-port-copy)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1564 (define-key vhdl-mode-map "\C-c\C-p\M-w" 'vhdl-port-copy)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1565 (define-key vhdl-mode-map "\C-c\C-p\C-e" 'vhdl-port-paste-entity)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1566 (define-key vhdl-mode-map "\C-c\C-p\C-c" 'vhdl-port-paste-component)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1567 (define-key vhdl-mode-map "\C-c\C-p\C-i" 'vhdl-port-paste-instance)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1568 (define-key vhdl-mode-map "\C-c\C-p\C-s" 'vhdl-port-paste-signals)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1569 (define-key vhdl-mode-map "\C-c\C-p\M-c" 'vhdl-port-paste-constants)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1570 (if (string-match "XEmacs" emacs-version) ; `... C-g' not allowed in XEmacs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1571 (define-key vhdl-mode-map "\C-c\C-p\M-g" 'vhdl-port-paste-generic-map)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1572 (define-key vhdl-mode-map "\C-c\C-p\C-g" 'vhdl-port-paste-generic-map))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1573 (define-key vhdl-mode-map "\C-c\C-p\C-t" 'vhdl-port-paste-testbench)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1574 (define-key vhdl-mode-map "\C-c\C-p\C-f" 'vhdl-port-flatten)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1575 (define-key vhdl-mode-map "\C-c\C-c" 'vhdl-comment-uncomment-region)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1576 (define-key vhdl-mode-map "\C-c-" 'vhdl-comment-append-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1577 (define-key vhdl-mode-map "\C-c\M--" 'vhdl-comment-display-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1578 (define-key vhdl-mode-map "\C-c\M-\C-i" 'vhdl-indent-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1579 (define-key vhdl-mode-map "\M-\C-\\" 'vhdl-indent-region)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1580 (define-key vhdl-mode-map "\C-c\C-a" 'vhdl-align-group)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1581 (define-key vhdl-mode-map "\C-c\C-r\C-a" 'vhdl-align-noindent-region)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1582 (define-key vhdl-mode-map "\C-c\M-\C-a" 'vhdl-align-inline-comment-group)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1583 (define-key vhdl-mode-map "\C-c\C-r\M-\C-a" 'vhdl-align-inline-comment-region)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1584 (define-key vhdl-mode-map "\C-c\C-w" 'vhdl-fixup-whitespace-region)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1585 (define-key vhdl-mode-map "\C-c\C-l\C-w" 'vhdl-line-kill)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1586 (define-key vhdl-mode-map "\C-c\C-l\M-w" 'vhdl-line-copy)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1587 (define-key vhdl-mode-map "\C-c\C-l\C-y" 'vhdl-line-yank)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1588 (define-key vhdl-mode-map "\C-c\C-l\t" 'vhdl-line-expand)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1589 (define-key vhdl-mode-map "\C-c\C-l\C-n" 'vhdl-line-transpose-next)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1590 (define-key vhdl-mode-map "\C-c\C-l\C-p" 'vhdl-line-transpose-previous)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1591 (define-key vhdl-mode-map "\C-c\C-l\C-o" 'vhdl-line-open)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1592 (define-key vhdl-mode-map "\C-c\C-l\C-g" 'goto-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1593 (define-key vhdl-mode-map "\C-c\C-l\C-c" 'vhdl-comment-uncomment-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1594 (define-key vhdl-mode-map "\C-c\C-r\C-u" 'vhdl-fix-case-region)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1595 (define-key vhdl-mode-map "\C-c\C-u" 'vhdl-fix-case-buffer)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1596 (define-key vhdl-mode-map "\C-c\C-f" 'vhdl-fontify-buffer)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1597 (define-key vhdl-mode-map "\C-c\C-x" 'vhdl-show-syntactic-information)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1598 (define-key vhdl-mode-map "\C-c\C-h" 'vhdl-doc-mode)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1599 (define-key vhdl-mode-map "\C-c\C-v" 'vhdl-version)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1600 (define-key vhdl-mode-map "\C-c\C-r\C-b" 'vhdl-beautify-region)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1601 (define-key vhdl-mode-map "\C-c\C-b" 'vhdl-beautify-buffer)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1602 (define-key vhdl-mode-map "\M-\t" 'tab-to-tab-stop)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1603 ;; insert commands bindings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1604 (define-key vhdl-mode-map "\C-c\C-i\C-c" 'vhdl-template-insert-construct)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1605 (define-key vhdl-mode-map "\C-c\C-i\C-p" 'vhdl-template-insert-package)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1606 (define-key vhdl-mode-map "\C-c\C-i\C-d" 'vhdl-template-insert-directive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1607 (define-key vhdl-mode-map "\C-c\C-i\C-m" 'vhdl-model-insert)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1608 ;; electric key bindings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1609 (define-key vhdl-mode-map " " 'vhdl-electric-space)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1610 (if vhdl-intelligent-tab
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1611 (define-key vhdl-mode-map "\t" 'vhdl-electric-tab)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1612 (define-key vhdl-mode-map "\t" 'vhdl-indent-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1613 (define-key vhdl-mode-map "\r" 'vhdl-electric-return)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1614 (define-key vhdl-mode-map "-" 'vhdl-electric-dash)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1615 (define-key vhdl-mode-map "[" 'vhdl-electric-open-bracket)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1616 (define-key vhdl-mode-map "]" 'vhdl-electric-close-bracket)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1617 (define-key vhdl-mode-map "'" 'vhdl-electric-quote)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1618 (define-key vhdl-mode-map ";" 'vhdl-electric-semicolon)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1619 (define-key vhdl-mode-map "," 'vhdl-electric-comma)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1620 (define-key vhdl-mode-map "." 'vhdl-electric-period)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1621 (when (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1622 (define-key vhdl-mode-map "=" 'vhdl-electric-equal)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1623
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1624 ;; initialize mode map for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1625 (vhdl-mode-map-init)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1626
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1627 ;; define special minibuffer keymap for enabling word completion in minibuffer
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1628 ;; (useful in template generator prompts)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1629 (defvar vhdl-minibuffer-local-map (copy-keymap minibuffer-local-map)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1630 "Keymap for minibuffer used in VHDL Mode.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1631
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1632 (when vhdl-word-completion-in-minibuffer
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1633 (define-key vhdl-minibuffer-local-map "\t" 'vhdl-minibuffer-tab))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1634
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1635 ;; set up electric character functions to work with
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1636 ;; `delete-selection-mode' (Emacs) and `pending-delete-mode' (XEmacs)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1637 (mapcar
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1638 (function
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1639 (lambda (sym)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1640 (put sym 'delete-selection t) ; for `delete-selection-mode' (Emacs)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1641 (put sym 'pending-delete t))) ; for `pending-delete-mode' (XEmacs)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1642 '(vhdl-electric-space
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1643 vhdl-electric-tab
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1644 vhdl-electric-return
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1645 vhdl-electric-dash
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1646 vhdl-electric-open-bracket
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1647 vhdl-electric-close-bracket
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1648 vhdl-electric-quote
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1649 vhdl-electric-semicolon
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1650 vhdl-electric-comma
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1651 vhdl-electric-period
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1652 vhdl-electric-equal))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1653
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1654 ;; syntax table
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1655 (defvar vhdl-mode-syntax-table nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1656 "Syntax table used in `vhdl-mode' buffers.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1657
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1658 (defun vhdl-mode-syntax-table-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1659 "Initialize `vhdl-mode-syntax-table'."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1660 (setq vhdl-mode-syntax-table (make-syntax-table))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1661 ;; define punctuation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1662 (modify-syntax-entry ?\# "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1663 (modify-syntax-entry ?\$ "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1664 (modify-syntax-entry ?\% "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1665 (modify-syntax-entry ?\& "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1666 (modify-syntax-entry ?\' "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1667 (modify-syntax-entry ?\* "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1668 (modify-syntax-entry ?\+ "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1669 (modify-syntax-entry ?\. "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1670 (modify-syntax-entry ?\/ "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1671 (modify-syntax-entry ?\: "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1672 (modify-syntax-entry ?\; "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1673 (modify-syntax-entry ?\< "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1674 (modify-syntax-entry ?\= "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1675 (modify-syntax-entry ?\> "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1676 (modify-syntax-entry ?\\ "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1677 (modify-syntax-entry ?\| "." vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1678 ;; define string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1679 (modify-syntax-entry ?\" "\"" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1680 ;; define underscore
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1681 (when vhdl-underscore-is-part-of-word
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1682 (modify-syntax-entry ?_ "w" vhdl-mode-syntax-table))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1683 ;; a single hyphen is punctuation, but a double hyphen starts a comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1684 (modify-syntax-entry ?\- ". 12" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1685 ;; and \n and \^M end a comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1686 (modify-syntax-entry ?\n ">" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1687 (modify-syntax-entry ?\^M ">" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1688 ;; define parentheses to match
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1689 (modify-syntax-entry ?\( "()" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1690 (modify-syntax-entry ?\) ")(" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1691 (modify-syntax-entry ?\[ "(]" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1692 (modify-syntax-entry ?\] ")[" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1693 (modify-syntax-entry ?\{ "(}" vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1694 (modify-syntax-entry ?\} "){" vhdl-mode-syntax-table))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1695
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1696 ;; initialize syntax table for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1697 (vhdl-mode-syntax-table-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1698
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1699 (defmacro vhdl-ext-syntax-table (&rest body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1700 "Execute BODY with syntax table that includes `_' in word class."
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
1701 `(let (result)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
1702 (modify-syntax-entry ?_ "w" vhdl-mode-syntax-table)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
1703 (setq result (progn ,@body))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
1704 (when (not vhdl-underscore-is-part-of-word)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
1705 (modify-syntax-entry ?_ "_" vhdl-mode-syntax-table))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
1706 result))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1707
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1708 (defvar vhdl-syntactic-context nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1709 "Buffer local variable containing syntactic analysis list.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1710 (make-variable-buffer-local 'vhdl-syntactic-context)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1711
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1712 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1713 ;; Abbrev hook bindings
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1714
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1715 (defvar vhdl-mode-abbrev-table nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1716 "Abbrev table to use in `vhdl-mode' buffers.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1717
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1718 (defun vhdl-mode-abbrev-table-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1719 "Initialize `vhdl-mode-abbrev-table'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1720 (when vhdl-mode-abbrev-table (clear-abbrev-table vhdl-mode-abbrev-table))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1721 (define-abbrev-table 'vhdl-mode-abbrev-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1722 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1723 (when (memq 'vhdl vhdl-electric-keywords)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1724 ;; VHDL'93 keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1725 '(
42441
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1726 ("--" "" vhdl-template-display-comment-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1727 ("abs" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1728 ("access" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1729 ("after" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1730 ("alias" "" vhdl-template-alias-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1731 ("all" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1732 ("and" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1733 ("arch" "" vhdl-template-architecture-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1734 ("architecture" "" vhdl-template-architecture-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1735 ("array" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1736 ("assert" "" vhdl-template-assert-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1737 ("attr" "" vhdl-template-attribute-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1738 ("attribute" "" vhdl-template-attribute-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1739 ("begin" "" vhdl-template-default-indent-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1740 ("block" "" vhdl-template-block-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1741 ("body" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1742 ("buffer" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1743 ("bus" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1744 ("case" "" vhdl-template-case-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1745 ("comp" "" vhdl-template-component-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1746 ("component" "" vhdl-template-component-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1747 ("cond" "" vhdl-template-conditional-signal-asst-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1748 ("conditional" "" vhdl-template-conditional-signal-asst-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1749 ("conf" "" vhdl-template-configuration-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1750 ("configuration" "" vhdl-template-configuration-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1751 ("cons" "" vhdl-template-constant-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1752 ("constant" "" vhdl-template-constant-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1753 ("disconnect" "" vhdl-template-disconnect-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1754 ("downto" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1755 ("else" "" vhdl-template-else-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1756 ("elseif" "" vhdl-template-elsif-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1757 ("elsif" "" vhdl-template-elsif-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1758 ("end" "" vhdl-template-default-indent-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1759 ("entity" "" vhdl-template-entity-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1760 ("exit" "" vhdl-template-exit-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1761 ("file" "" vhdl-template-file-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1762 ("for" "" vhdl-template-for-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1763 ("func" "" vhdl-template-function-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1764 ("function" "" vhdl-template-function-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1765 ("generic" "" vhdl-template-generic-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1766 ("group" "" vhdl-template-group-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1767 ("guarded" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1768 ("if" "" vhdl-template-if-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1769 ("impure" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1770 ("in" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1771 ("inertial" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1772 ("inout" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1773 ("inst" "" vhdl-template-instance-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1774 ("instance" "" vhdl-template-instance-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1775 ("is" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1776 ("label" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1777 ("library" "" vhdl-template-library-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1778 ("linkage" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1779 ("literal" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1780 ("loop" "" vhdl-template-bare-loop-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1781 ("map" "" vhdl-template-map-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1782 ("mod" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1783 ("nand" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1784 ("new" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1785 ("next" "" vhdl-template-next-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1786 ("nor" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1787 ("not" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1788 ("null" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1789 ("of" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1790 ("on" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1791 ("open" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1792 ("or" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1793 ("others" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1794 ("out" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1795 ("pack" "" vhdl-template-package-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1796 ("package" "" vhdl-template-package-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1797 ("port" "" vhdl-template-port-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1798 ("postponed" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1799 ("procedure" "" vhdl-template-procedure-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1800 ("process" "" vhdl-template-process-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1801 ("pure" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1802 ("range" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1803 ("record" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1804 ("register" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1805 ("reject" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1806 ("rem" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1807 ("report" "" vhdl-template-report-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1808 ("return" "" vhdl-template-return-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1809 ("rol" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1810 ("ror" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1811 ("select" "" vhdl-template-selected-signal-asst-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1812 ("severity" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1813 ("shared" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1814 ("sig" "" vhdl-template-signal-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1815 ("signal" "" vhdl-template-signal-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1816 ("sla" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1817 ("sll" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1818 ("sra" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1819 ("srl" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1820 ("subtype" "" vhdl-template-subtype-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1821 ("then" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1822 ("to" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1823 ("transport" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1824 ("type" "" vhdl-template-type-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1825 ("unaffected" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1826 ("units" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1827 ("until" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1828 ("use" "" vhdl-template-use-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1829 ("var" "" vhdl-template-variable-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1830 ("variable" "" vhdl-template-variable-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1831 ("wait" "" vhdl-template-wait-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1832 ("when" "" vhdl-template-when-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1833 ("while" "" vhdl-template-while-loop-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1834 ("with" "" vhdl-template-with-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1835 ("xnor" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1836 ("xor" "" vhdl-template-default-hook 0 t)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1837 ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1838 ;; VHDL-AMS keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1839 (when (and (memq 'vhdl vhdl-electric-keywords) (vhdl-standard-p 'ams))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1840 '(
42441
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1841 ("across" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1842 ("break" "" vhdl-template-break-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1843 ("limit" "" vhdl-template-limit-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1844 ("nature" "" vhdl-template-nature-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1845 ("noise" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1846 ("procedural" "" vhdl-template-procedural-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1847 ("quantity" "" vhdl-template-quantity-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1848 ("reference" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1849 ("spectrum" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1850 ("subnature" "" vhdl-template-subnature-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1851 ("terminal" "" vhdl-template-terminal-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1852 ("through" "" vhdl-template-default-hook 0 t)
3bd37559f5f7 (vhdl-mode-abbrev-table-init): Mark all the predefined abbrevs as "system"
Pavel Janík <Pavel@Janik.cz>
parents: 42205
diff changeset
1853 ("tolerance" "" vhdl-template-default-hook 0 t)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1854 ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1855 ;; user model keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1856 (when (memq 'user vhdl-electric-keywords)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1857 (let ((alist vhdl-model-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1858 abbrev-list keyword)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1859 (while alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1860 (setq keyword (nth 3 (car alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1861 (unless (equal keyword "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1862 (setq abbrev-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1863 (cons (list keyword ""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1864 (vhdl-function-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1865 "vhdl-model" (nth 0 (car alist)) "hook") 0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1866 abbrev-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1867 (setq alist (cdr alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1868 abbrev-list)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1869
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1870 ;; initialize abbrev table for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1871 (vhdl-mode-abbrev-table-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1872
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1873 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1874 ;; Template completion lists
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1875
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1876 (defvar vhdl-template-construct-alist nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1877 "List of built-in construct templates.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1878
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1879 (defun vhdl-template-construct-alist-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1880 "Initialize `vhdl-template-construct-alist'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1881 (setq
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1882 vhdl-template-construct-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1883 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1884 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1885 ("alias declaration" vhdl-template-alias)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1886 ("architecture body" vhdl-template-architecture)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1887 ("assertion" vhdl-template-assert)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1888 ("attribute declaration" vhdl-template-attribute-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1889 ("attribute specification" vhdl-template-attribute-spec)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1890 ("block configuration" vhdl-template-block-configuration)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1891 ("block statement" vhdl-template-block)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1892 ("case statement" vhdl-template-case-is)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1893 ("component configuration" vhdl-template-component-conf)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1894 ("component declaration" vhdl-template-component-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1895 ("component instantiation statement" vhdl-template-component-inst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1896 ("conditional signal assignment" vhdl-template-conditional-signal-asst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1897 ("configuration declaration" vhdl-template-configuration-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1898 ("configuration specification" vhdl-template-configuration-spec)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1899 ("constant declaration" vhdl-template-constant)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1900 ("disconnection specification" vhdl-template-disconnect)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1901 ("entity declaration" vhdl-template-entity)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1902 ("exit statement" vhdl-template-exit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1903 ("file declaration" vhdl-template-file)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1904 ("generate statement" vhdl-template-generate)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1905 ("generic clause" vhdl-template-generic)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1906 ("group declaration" vhdl-template-group-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1907 ("group template declaration" vhdl-template-group-template)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1908 ("if statement" vhdl-template-if-then)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1909 ("library clause" vhdl-template-library)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1910 ("loop statement" vhdl-template-loop)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1911 ("next statement" vhdl-template-next)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1912 ("package declaration" vhdl-template-package-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1913 ("package body" vhdl-template-package-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1914 ("port clause" vhdl-template-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1915 ("process statement" vhdl-template-process)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1916 ("report statement" vhdl-template-report)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1917 ("return statement" vhdl-template-return)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1918 ("selected signal assignment" vhdl-template-selected-signal-asst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1919 ("signal declaration" vhdl-template-signal)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1920 ("subprogram declaration" vhdl-template-subprogram-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1921 ("subprogram body" vhdl-template-subprogram-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1922 ("subtype declaration" vhdl-template-subtype)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1923 ("type declaration" vhdl-template-type)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1924 ("use clause" vhdl-template-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1925 ("variable declaration" vhdl-template-variable)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1926 ("wait statement" vhdl-template-wait)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1927 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1928 (when (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1929 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1930 ("break statement" vhdl-template-break)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1931 ("nature declaration" vhdl-template-nature)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1932 ("quantity declaration" vhdl-template-quantity)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1933 ("simultaneous case statement" vhdl-template-case-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1934 ("simultaneous if statement" vhdl-template-if-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1935 ("simultaneous procedural statement" vhdl-template-procedural)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1936 ("step limit specification" vhdl-template-limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1937 ("subnature declaration" vhdl-template-subnature)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1938 ("terminal declaration" vhdl-template-terminal)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1939 )))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1940
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1941 ;; initialize for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1942 (vhdl-template-construct-alist-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1943
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1944 (defvar vhdl-template-package-alist nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1945 "List of built-in package templates.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1946
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1947 (defun vhdl-template-package-alist-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1948 "Initialize `vhdl-template-package-alist'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1949 (setq
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1950 vhdl-template-package-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1951 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1952 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1953 ("numeric_bit" vhdl-template-package-numeric-bit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1954 ("numeric_std" vhdl-template-package-numeric-std)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1955 ("std_logic_1164" vhdl-template-package-std-logic-1164)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1956 ("std_logic_arith" vhdl-template-package-std-logic-arith)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1957 ("std_logic_misc" vhdl-template-package-std-logic-misc)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1958 ("std_logic_signed" vhdl-template-package-std-logic-signed)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1959 ("std_logic_textio" vhdl-template-package-std-logic-textio)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1960 ("std_logic_unsigned" vhdl-template-package-std-logic-unsigned)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1961 ("textio" vhdl-template-package-textio)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1962 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1963 (when (vhdl-standard-p 'math)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1964 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1965 ("math_complex" vhdl-template-package-math-complex)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1966 ("math_real" vhdl-template-package-math-real)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1967 )))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1968
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1969 ;; initialize for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1970 (vhdl-template-package-alist-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1971
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1972 (defvar vhdl-template-directive-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1973 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1974 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1975 ("translate_on" vhdl-template-directive-translate-on)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1976 ("translate_off" vhdl-template-directive-translate-off)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1977 ("synthesis_on" vhdl-template-directive-synthesis-on)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1978 ("synthesis_off" vhdl-template-directive-synthesis-off)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1979 ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1980 "List of built-in directive templates.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1981
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1982
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1983 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1984 ;;; Menues
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1985 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1986
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1987 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1988 ;; VHDL menu (using `easy-menu.el')
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
1989
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1990 (defun vhdl-customize ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1991 "Call the customize function with `vhdl' as argument."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1992 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1993 (customize-browse 'vhdl))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1994
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1995 (defun vhdl-create-customize-menu ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1996 "Create a full customization menu for VHDL, insert it into the menu."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1997 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1998 (if (fboundp 'customize-menu-create)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
1999 (easy-menu-change
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2000 '("VHDL") "Customize"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2001 `(["Browse VHDL Group..." vhdl-customize t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2002 ,(customize-menu-create 'vhdl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2003 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2004 ["Activate New Customizations" vhdl-activate-customizations t]))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2005 (error "Cannot expand menu (outdated version of cus-edit.el)")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2006
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2007 (defun vhdl-create-mode-menu ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2008 "Create VHDL Mode menu."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2009 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2010 "VHDL"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2011 '("Mode"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2012 ["Electric" vhdl-electric-mode :style toggle :selected vhdl-electric-mode]
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2013 ["Stutter" vhdl-stutter-mode :style toggle :selected vhdl-stutter-mode]
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2014 )
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2015 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2016 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2017 '("Project"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2018 ["None" (vhdl-project-switch "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2019 :style radio :selected (equal vhdl-project "")]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2020 "--"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2021 )
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2022 ;; add menu entries for defined projects
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2023 (let ((project-alist vhdl-project-alist) menu-alist name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2024 (while project-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2025 (setq name (car (car project-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2026 (setq menu-alist (cons (vector name (list 'vhdl-project-switch name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2027 :style 'radio :selected
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2028 (list 'equal 'vhdl-project name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2029 menu-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2030 (setq project-alist (cdr project-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2031 (setq menu-alist (cons '["Add Project..."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2032 (customize-variable 'vhdl-project-alist) t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2033 (cons "--" menu-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2034 (nreverse menu-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2035 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2036 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2037 "Compile"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2038 ["Compile Buffer" vhdl-compile t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2039 ["Stop Compilation" kill-compilation t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2040 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2041 ["Make" vhdl-make t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2042 ["Generate Makefile" vhdl-generate-makefile t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2043 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2044 ["Next Error" next-error t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2045 ["Previous Error" previous-error t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2046 ["First Error" first-error t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2047 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2048 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2049 '("Compiler")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2050 ;; add menu entries for defined compilers
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2051 (let ((comp-alist vhdl-compiler-alist) menu-alist name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2052 (while comp-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2053 (setq name (car (car comp-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2054 (setq menu-alist (cons (vector name (list 'setq 'vhdl-compiler name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2055 :style 'radio :selected
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2056 (list 'equal 'vhdl-compiler name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2057 menu-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2058 (setq comp-alist (cdr comp-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2059 (setq menu-alist (cons '["Add Compiler..."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2060 (customize-variable 'vhdl-compiler-alist) t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2061 (cons "--" menu-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2062 (nreverse menu-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2063 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2064 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2065 '("Template"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2066 ("VHDL Construct 1"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2067 ["Alias" vhdl-template-alias t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2068 ["Architecture" vhdl-template-architecture t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2069 ["Assert" vhdl-template-assert t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2070 ["Attribute (Decl)" vhdl-template-attribute-decl t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2071 ["Attribute (Spec)" vhdl-template-attribute-spec t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2072 ["Block" vhdl-template-block t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2073 ["Case" vhdl-template-case-is t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2074 ["Component (Decl)" vhdl-template-component-decl t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2075 ["(Component) Instance" vhdl-template-component-inst t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2076 ["Conditional (Signal Asst)" vhdl-template-conditional-signal-asst t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2077 ["Configuration (Block)"vhdl-template-block-configuration t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2078 ["Configuration (Comp)" vhdl-template-component-conf t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2079 ["Configuration (Decl)" vhdl-template-configuration-decl t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2080 ["Configuration (Spec)" vhdl-template-configuration-spec t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2081 ["Constant" vhdl-template-constant t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2082 ["Disconnect" vhdl-template-disconnect t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2083 ["Else" vhdl-template-else t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2084 ["Elsif" vhdl-template-elsif t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2085 ["Entity" vhdl-template-entity t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2086 ["Exit" vhdl-template-exit t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2087 ["File" vhdl-template-file t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2088 ["For (Generate)" vhdl-template-for-generate t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2089 ["For (Loop)" vhdl-template-for-loop t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2090 ["Function (Body)" vhdl-template-function-body t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2091 ["Function (Decl)" vhdl-template-function-decl t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2092 ["Generic" vhdl-template-generic t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2093 ["Group (Decl)" vhdl-template-group-decl t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2094 ["Group (Template)" vhdl-template-group-template t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2095 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2096 ("VHDL Construct 2"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2097 ["If (Generate)" vhdl-template-if-generate t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2098 ["If (Then)" vhdl-template-if-then t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2099 ["Library" vhdl-template-library t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2100 ["Loop" vhdl-template-bare-loop t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2101 ["Map" vhdl-template-map t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2102 ["Next" vhdl-template-next t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2103 ["(Others)" vhdl-template-others t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2104 ["Package (Decl)" vhdl-template-package-decl t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2105 ["Package (Body)" vhdl-template-package-body t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2106 ["Port" vhdl-template-port t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2107 ["Procedure (Body)" vhdl-template-procedure-body t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2108 ["Procedure (Decl)" vhdl-template-procedure-decl t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2109 ["Process (Comb)" vhdl-template-process-comb t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2110 ["Process (Seq)" vhdl-template-process-seq t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2111 ["Report" vhdl-template-report t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2112 ["Return" vhdl-template-return t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2113 ["Select" vhdl-template-selected-signal-asst t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2114 ["Signal" vhdl-template-signal t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2115 ["Subtype" vhdl-template-subtype t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2116 ["Type" vhdl-template-type t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2117 ["Use" vhdl-template-use t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2118 ["Variable" vhdl-template-variable t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2119 ["Wait" vhdl-template-wait t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2120 ["(Clocked Wait)" vhdl-template-clocked-wait t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2121 ["When" vhdl-template-when t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2122 ["While (Loop)" vhdl-template-while-loop t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2123 ["With" vhdl-template-with t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2124 ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2125 (when (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2126 '(("VHDL-AMS Construct"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2127 ["Break" vhdl-template-break t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2128 ["Case (Use)" vhdl-template-case-use t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2129 ["If (Use)" vhdl-template-if-use t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2130 ["Limit" vhdl-template-limit t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2131 ["Nature" vhdl-template-nature t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2132 ["Procedural" vhdl-template-procedural t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2133 ["Quantity (Free)" vhdl-template-quantity-free t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2134 ["Quantity (Branch)" vhdl-template-quantity-branch t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2135 ["Quantity (Source)" vhdl-template-quantity-source t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2136 ["Subnature" vhdl-template-subnature t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2137 ["Terminal" vhdl-template-terminal t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2138 )))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2139 '(["Insert Construct" vhdl-template-insert-construct
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2140 :keys "C-c C-i C-c"]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2141 "--")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2142 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2143 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2144 '("Package")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2145 (when (vhdl-standard-p 'math)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2146 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2147 ["math_complex" vhdl-template-package-math-complex t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2148 ["math_real" vhdl-template-package-math-real t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2149 ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2150 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2151 ["numeric_bit" vhdl-template-package-numeric-bit t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2152 ["numeric_std" vhdl-template-package-numeric-std t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2153 ["std_logic_1164" vhdl-template-package-std-logic-1164 t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2154 ["textio" vhdl-template-package-textio t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2155 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2156 ["std_logic_arith" vhdl-template-package-std-logic-arith t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2157 ["std_logic_signed" vhdl-template-package-std-logic-signed t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2158 ["std_logic_unsigned" vhdl-template-package-std-logic-unsigned t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2159 ["std_logic_misc" vhdl-template-package-std-logic-misc t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2160 ["std_logic_textio" vhdl-template-package-std-logic-textio t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2161 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2162 ["Insert Package" vhdl-template-insert-package
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2163 :keys "C-c C-i C-p"]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2164 )))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2165 '(("Directive"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2166 ["translate_on" vhdl-template-directive-translate-on t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2167 ["translate_off" vhdl-template-directive-translate-off t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2168 ["synthesis_on" vhdl-template-directive-synthesis-on t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2169 ["synthesis_off" vhdl-template-directive-synthesis-off t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2170 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2171 ["Insert Directive" vhdl-template-insert-directive
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2172 :keys "C-c C-i C-d"]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2173 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2174 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2175 ["Insert Header" vhdl-template-header :keys "C-c C-t C-h"]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2176 ["Insert Footer" vhdl-template-footer t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2177 ["Insert Date" vhdl-template-insert-date t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2178 ["Modify Date" vhdl-template-modify :keys "C-c C-t C-m"]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2179 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2180 ["Query Next Prompt" vhdl-template-search-prompt t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2181 ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2182 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2183 '("Model")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2184 ;; add menu entries for defined models
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2185 (let ((model-alist vhdl-model-alist) menu-alist model)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2186 (while model-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2187 (setq model (car model-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2188 (setq menu-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2189 (cons (vector
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2190 (nth 0 model)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2191 (vhdl-function-name "vhdl-model" (nth 0 model))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2192 :keys (concat "C-c C-m " (key-description (nth 2 model))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2193 menu-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2194 (setq model-alist (cdr model-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2195 (setq menu-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2196 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2197 (nreverse menu-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2198 '("--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2199 ["Insert Model" vhdl-model-insert :keys "C-c C-i C-m"]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2200 ["Add Model..." (customize-variable 'vhdl-model-alist) t])))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2201 menu-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2202 '("Port"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2203 ["Copy" vhdl-port-copy t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2204 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2205 ["Paste As Entity" vhdl-port-paste-entity vhdl-port-list]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2206 ["Paste As Component" vhdl-port-paste-component vhdl-port-list]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2207 ["Paste As Instance" vhdl-port-paste-instance
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2208 :keys "C-c C-p C-i" :active vhdl-port-list]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2209 ["Paste As Signals" vhdl-port-paste-signals vhdl-port-list]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2210 ["Paste As Constants" vhdl-port-paste-constants vhdl-port-list]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2211 ["Paste As Generic Map" vhdl-port-paste-generic-map vhdl-port-list]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2212 ["Paste As Test Bench" vhdl-port-paste-testbench vhdl-port-list]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2213 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2214 ["Flatten" vhdl-port-flatten vhdl-port-list]
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2215 )
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2216 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2217 '("Comment"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2218 ["(Un)Comment Out Region" vhdl-comment-uncomment-region (mark)]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2219 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2220 ["Insert Inline Comment" vhdl-comment-append-inline t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2221 ["Insert Horizontal Line" vhdl-comment-display-line t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2222 ["Insert Display Comment" vhdl-comment-display t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2223 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2224 ["Fill Comment" fill-paragraph t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2225 ["Fill Comment Region" fill-region (mark)]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2226 ["Kill Comment Region" vhdl-comment-kill-region (mark)]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2227 ["Kill Inline Comment Region" vhdl-comment-kill-inline-region (mark)]
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2228 )
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2229 '("Line"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2230 ["Kill" vhdl-line-kill t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2231 ["Copy" vhdl-line-copy t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2232 ["Yank" vhdl-line-yank t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2233 ["Expand" vhdl-line-expand t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2234 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2235 ["Transpose Next" vhdl-line-transpose-next t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2236 ["Transpose Prev" vhdl-line-transpose-previous t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2237 ["Open" vhdl-line-open t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2238 ["Join" delete-indentation t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2239 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2240 ["Goto" goto-line t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2241 ["(Un)Comment Out" vhdl-comment-uncomment-line t]
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2242 )
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2243 '("Move"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2244 ["Forward Statement" vhdl-end-of-statement t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2245 ["Backward Statement" vhdl-beginning-of-statement t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2246 ["Forward Expression" vhdl-forward-sexp t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2247 ["Backward Expression" vhdl-backward-sexp t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2248 ["Forward Function" vhdl-end-of-defun t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2249 ["Backward Function" vhdl-beginning-of-defun t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2250 ["Mark Function" vhdl-mark-defun t]
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2251 )
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2252 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2253 '("Indent"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2254 ["Line" vhdl-indent-line t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2255 ["Region" vhdl-indent-region (mark)]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2256 ["Buffer" vhdl-indent-buffer t]
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2257 )
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2258 '("Align"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2259 ["Group" vhdl-align-group t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2260 ["Region" vhdl-align-noindent-region (mark)]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2261 ["Buffer" vhdl-align-noindent-buffer t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2262 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2263 ["Inline Comment Group" vhdl-align-inline-comment-group t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2264 ["Inline Comment Region" vhdl-align-inline-comment-region (mark)]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2265 ["Inline Comment Buffer" vhdl-align-inline-comment-buffer t]
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2266 "--"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2267 ["Fixup Whitespace Region" vhdl-fixup-whitespace-region (mark)]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2268 ["Fixup Whitespace Buffer" vhdl-fixup-whitespace-buffer t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2269 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2270 '("Fix Case"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2271 ["Region" vhdl-fix-case-region (mark)]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2272 ["Buffer" vhdl-fix-case-buffer t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2273 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2274 '("Beautify"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2275 ["Beautify Region" vhdl-beautify-region (mark)]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2276 ["Beautify Buffer" vhdl-beautify-buffer t]
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2277 )
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2278 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2279 ["Fontify Buffer" vhdl-fontify-buffer t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2280 ["Syntactic Info" vhdl-show-syntactic-information t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2281 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2282 '("Documentation"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2283 ["VHDL Mode" vhdl-doc-mode :keys "C-c C-h"]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2284 ["Reserved Words" (vhdl-doc-variable 'vhdl-doc-keywords) t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2285 ["Coding Style" (vhdl-doc-variable 'vhdl-doc-coding-style) t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2286 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2287 ["Version" vhdl-version t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2288 ["Bug Report..." vhdl-submit-bug-report t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2289 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2290 '("Speedbar"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2291 ["Open/Close" vhdl-speedbar t]
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2292 "--"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2293 ["Show Hierarchy" vhdl-speedbar-toggle-hierarchy
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2294 :style toggle
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2295 :selected
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2296 (and (boundp 'speedbar-initial-expansion-list-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2297 (equal speedbar-initial-expansion-list-name "vhdl hierarchy"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2298 :active (and (boundp 'speedbar-frame) speedbar-frame)]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2299 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2300 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2301 '("Customize"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2302 ["Browse VHDL Group..." vhdl-customize t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2303 ["Build Customize Menu" vhdl-create-customize-menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2304 (fboundp 'customize-menu-create)]
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2305 "--"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2306 ["Activate New Customizations" vhdl-activate-customizations t])
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2307 ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2308
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2309 (defvar vhdl-mode-menu-list (vhdl-create-mode-menu)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2310 "VHDL Mode menu.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2311
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2312 (defun vhdl-update-mode-menu ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2313 "Update VHDL mode menu."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2314 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2315 (easy-menu-remove vhdl-mode-menu-list) ; for XEmacs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2316 (setq vhdl-mode-menu-list (vhdl-create-mode-menu))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2317 (easy-menu-add vhdl-mode-menu-list) ; for XEmacs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2318 (easy-menu-define vhdl-mode-menu vhdl-mode-map
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2319 "Menu keymap for VHDL Mode." vhdl-mode-menu-list))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2320
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2321 (require 'easymenu)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2322
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2323 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2324 ;; Index menu (using `imenu.el'), also used for speedbar (using `speedbar.el')
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2325
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2326 (defvar vhdl-imenu-generic-expression
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2327 '(
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2328 ("Subprogram"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2329 "^\\s-*\\(\\(\\(impure\\|pure\\)\\s-+\\|\\)function\\|procedure\\)\\s-+\\(\"?\\(\\w\\|\\s_\\)+\"?\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2330 4)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2331 ("Instance"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2332 "^\\s-*\\(\\(\\w\\|\\s_\\)+\\s-*:\\(\\s-\\|\n\\)*\\(\\w\\|\\s_\\)+\\)\\(\\s-\\|\n\\)+\\(generic\\|port\\)\\s-+map\\>"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2333 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2334 ("Component"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2335 "^\\s-*\\(component\\)\\s-+\\(\\(\\w\\|\\s_\\)+\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2336 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2337 ("Procedural"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2338 "^\\s-*\\(\\(\\w\\|\\s_\\)+\\)\\s-*:\\(\\s-\\|\n\\)*\\(procedural\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2339 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2340 ("Process"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2341 "^\\s-*\\(\\(\\w\\|\\s_\\)+\\)\\s-*:\\(\\s-\\|\n\\)*\\(\\(postponed\\s-+\\|\\)process\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2342 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2343 ("Block"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2344 "^\\s-*\\(\\(\\w\\|\\s_\\)+\\)\\s-*:\\(\\s-\\|\n\\)*\\(block\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2345 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2346 ("Package"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2347 "^\\s-*\\(package\\( body\\|\\)\\)\\s-+\\(\\(\\w\\|\\s_\\)+\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2348 3)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2349 ("Configuration"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2350 "^\\s-*\\(configuration\\)\\s-+\\(\\(\\w\\|\\s_\\)+\\s-+of\\s-+\\(\\w\\|\\s_\\)+\\)"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2351 2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2352 ("Architecture"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2353 "^\\s-*\\(architecture\\)\\s-+\\(\\(\\w\\|\\s_\\)+\\s-+of\\s-+\\(\\w\\|\\s_\\)+\\)"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2354 2)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2355 ("Entity"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2356 "^\\s-*\\(entity\\)\\s-+\\(\\(\\w\\|\\s_\\)+\\)"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2357 2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2358 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2359 "Imenu generic expression for VHDL Mode. See `imenu-generic-expression'.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2360
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2361 (defun vhdl-index-menu-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2362 "Initialize index menu."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2363 (set (make-local-variable 'imenu-case-fold-search) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2364 (set (make-local-variable 'imenu-generic-expression)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2365 vhdl-imenu-generic-expression)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2366 (when (and vhdl-index-menu (not (string-match "XEmacs" emacs-version)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2367 (if (or (not (boundp 'font-lock-maximum-size))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2368 (> font-lock-maximum-size (buffer-size)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2369 (imenu-add-to-menubar "Index")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2370 (message "Scanning buffer for index...buffer too big"))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2371
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2372 ;; ############################################################################
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2373 ;; Source file menu (using `easy-menu.el')
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2374
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2375 (defvar vhdl-sources-menu nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2376
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2377 (defun vhdl-directory-files (directory &optional full match)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2378 "Call `directory-files' if DIRECTORY exists, otherwise generate error
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2379 message."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2380 (if (file-directory-p directory)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2381 (directory-files directory full match)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2382 (message "No such directory: \"%s\"" directory)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2383 nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2384
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2385 (defun vhdl-get-source-files (&optional full directory)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2386 "Get list of VHDL source files in DIRECTORY or current directory."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2387 (let ((mode-alist auto-mode-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2388 filename-regexp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2389 ;; create regular expressions for matching file names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2390 (setq filename-regexp ".*\\(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2391 (while mode-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2392 (when (eq (cdr (car mode-alist)) 'vhdl-mode)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2393 (setq filename-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2394 (concat filename-regexp (car (car mode-alist)) "\\|")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2395 (setq mode-alist (cdr mode-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2396 (setq filename-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2397 (concat (substring filename-regexp 0
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2398 (string-match "\\\\|$" filename-regexp)) "\\)"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2399 ;; find files
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2400 (nreverse (vhdl-directory-files
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2401 (or directory default-directory) full filename-regexp))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2402
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2403 (defun vhdl-add-source-files-menu ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2404 "Scan directory for all VHDL source files and generate menu.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2405 The directory of the current source file is scanned."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2406 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2407 (message "Scanning directory for source files ...")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2408 (let ((newmap (current-local-map))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2409 (mode-alist auto-mode-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2410 (file-list (vhdl-get-source-files))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2411 menu-list found)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2412 ;; Create list for menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2413 (setq found nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2414 (while file-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2415 (setq found t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2416 (setq menu-list (cons (vector (car file-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2417 (list 'find-file (car file-list)) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2418 menu-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2419 (setq file-list (cdr file-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2420 (setq menu-list (vhdl-menu-split menu-list 25))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2421 (when found (setq menu-list (cons "--" menu-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2422 (setq menu-list (cons ["*Rescan*" vhdl-add-source-files-menu t] menu-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2423 (setq menu-list (cons "Sources" menu-list))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2424 ;; Create menu
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2425 (easy-menu-add menu-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2426 (easy-menu-define vhdl-sources-menu newmap
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2427 "VHDL source files menu" menu-list))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2428 (message ""))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2429
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2430 (defun vhdl-menu-split (list n)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2431 "Split menu LIST into several submenues, if number of elements > N."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2432 (if (> (length list) n)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2433 (let ((remain list)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2434 (result '())
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2435 (sublist '())
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2436 (menuno 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2437 (i 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2438 (while remain
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2439 (setq sublist (cons (car remain) sublist))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2440 (setq remain (cdr remain))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2441 (setq i (+ i 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2442 (if (= i n)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2443 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2444 (setq result (cons (cons (format "Sources %s" menuno)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2445 (nreverse sublist)) result))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2446 (setq i 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2447 (setq menuno (+ menuno 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2448 (setq sublist '()))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2449 (and sublist
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2450 (setq result (cons (cons (format "Sources %s" menuno)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2451 (nreverse sublist)) result)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2452 (nreverse result))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2453 list))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2454
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2455
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2456 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2457 ;;; VHDL Mode definition
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2458 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2459 ;; performs all buffer local initializations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2460
21446
830023d4cec6 *** empty log message ***
Dan Nicolaescu <done@ece.arizona.edu>
parents: 20665
diff changeset
2461 ;;;###autoload
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2462 (defun vhdl-mode ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2463 "Major mode for editing VHDL code.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2464
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2465 Usage:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2466 ------
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2467
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2468 - TEMPLATE INSERTION (electrification): After typing a VHDL keyword and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2469 entering `\\[vhdl-electric-space]', you are prompted for arguments while a template is generated
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2470 for that VHDL construct. Typing `\\[vhdl-electric-return]' or `\\[keyboard-quit]' at the first (mandatory)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2471 prompt aborts the current template generation. Optional arguments are
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2472 indicated by square brackets and removed if the queried string is left empty.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2473 Prompts for mandatory arguments remain in the code if the queried string is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2474 left empty. They can be queried again by `\\[vhdl-template-search-prompt]'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2475 Typing `\\[just-one-space]' after a keyword inserts a space without calling the template
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2476 generator. Automatic template generation (i.e. electrification) can be
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2477 disabled (enabled) by typing `\\[vhdl-electric-mode]' or by setting custom variable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2478 `vhdl-electric-mode' (see CUSTOMIZATION).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2479 Enabled electrification is indicated by `/e' in the modeline.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2480 Template generators can be invoked from the VHDL menu, by key bindings, by
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2481 typing `C-c C-i C-c' and choosing a construct, or by typing the keyword (i.e.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2482 first word of menu entry not in parenthesis) and `\\[vhdl-electric-space]'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2483 The following abbreviations can also be used:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2484 arch, attr, cond, conf, comp, cons, func, inst, pack, sig, var.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2485 Template styles can be customized in customization group `vhdl-electric'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2486 \(see CUSTOMIZATION).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2487
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2488 - HEADER INSERTION: A file header can be inserted by `\\[vhdl-template-header]'. A
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2489 file footer (template at the end of the file) can be inserted by
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2490 `\\[vhdl-template-footer]'. See customization group `vhdl-header'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2491
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2492 - STUTTERING: Double striking of some keys inserts cumbersome VHDL syntax
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2493 elements. Stuttering can be disabled (enabled) by typing `\\[vhdl-stutter-mode]' or by
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2494 variable `vhdl-stutter-mode'. Enabled stuttering is indicated by `/s' in
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2495 the modeline. The stuttering keys and their effects are:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2496 ;; --> \" : \" [ --> ( -- --> comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2497 ;;; --> \" := \" [[ --> [ --CR --> comment-out code
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2498 .. --> \" => \" ] --> ) --- --> horizontal line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2499 ,, --> \" <= \" ]] --> ] ---- --> display comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2500 == --> \" == \" '' --> \\\"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2501
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2502 - WORD COMPLETION: Typing `\\[vhdl-electric-tab]' after a (not completed) word looks for a VHDL
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2503 keyword or a word in the buffer that starts alike, inserts it and adjusts
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2504 case. Re-typing `\\[vhdl-electric-tab]' toggles through alternative word completions.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2505 This also works in the minibuffer (i.e. in template generator prompts).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2506 Typing `\\[vhdl-electric-tab]' after `(' looks for and inserts complete parenthesized
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2507 expressions (e.g. for array index ranges). All keywords as well as standard
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2508 types and subprograms of VHDL have predefined abbreviations (e.g. type \"std\"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2509 and `\\[vhdl-electric-tab]' will toggle through all standard types beginning with \"std\").
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2510
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2511 Typing `\\[vhdl-electric-tab]' after a non-word character indents the line if at the beginning
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2512 of a line (i.e. no preceding non-blank characters),and inserts a tabulator
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2513 stop otherwise. `\\[tab-to-tab-stop]' always inserts a tabulator stop.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2514
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2515 - COMMENTS:
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2516 `--' puts a single comment.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2517 `---' draws a horizontal line for separating code segments.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2518 `----' inserts a display comment, i.e. two horizontal lines with a
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2519 comment in between.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2520 `--CR' comments out code on that line. Re-hitting CR comments out
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2521 following lines.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2522 `\\[vhdl-comment-uncomment-region]' comments out a region if not commented out,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2523 uncomments a region if already commented out.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2524
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2525 You are prompted for comments after object definitions (i.e. signals,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2526 variables, constants, ports) and after subprogram and process specifications
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2527 if variable `vhdl-prompt-for-comments' is non-nil. Comments are
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2528 automatically inserted as additional labels (e.g. after begin statements) and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2529 as help comments if `vhdl-self-insert-comments' is non-nil.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2530 Inline comments (i.e. comments after a piece of code on the same line) are
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2531 indented at least to `vhdl-inline-comment-column'. Comments go at maximum to
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2532 `vhdl-end-comment-column'. `\\[vhdl-electric-return]' after a space in a comment will open a
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2533 new comment line. Typing beyond `vhdl-end-comment-column' in a comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2534 automatically opens a new comment line. `\\[fill-paragraph]' re-fills
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2535 multi-line comments.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2536
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2537 - INDENTATION: `\\[vhdl-electric-tab]' indents a line if at the beginning of the line.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2538 The amount of indentation is specified by variable `vhdl-basic-offset'.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2539 `\\[vhdl-indent-line]' always indents the current line (is bound to `TAB' if variable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2540 `vhdl-intelligent-tab' is nil). Indentation can be done for an entire region
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2541 \(`\\[vhdl-indent-region]') or buffer (menu). Argument and port lists are indented normally
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2542 \(nil) or relative to the opening parenthesis (non-nil) according to variable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2543 `vhdl-argument-list-indent'. If variable `vhdl-indent-tabs-mode' is nil,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2544 spaces are used instead of tabs. `\\[tabify]' and `\\[untabify]' allow
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2545 to convert spaces to tabs and vice versa.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2546
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2547 - ALIGNMENT: The alignment functions align operators, keywords, and inline
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2548 comment to beautify argument lists, port maps, etc. `\\[vhdl-align-group]' aligns a group
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2549 of consecutive lines separated by blank lines. `\\[vhdl-align-noindent-region]' aligns an
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2550 entire region. If variable `vhdl-align-groups' is non-nil, groups of code
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2551 lines separated by empty lines are aligned individually. `\\[vhdl-align-inline-comment-group]' aligns
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2552 inline comments for a group of lines, and `\\[vhdl-align-inline-comment-region]' for a region.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2553 Some templates are automatically aligned after generation if custom variable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2554 `vhdl-auto-align' is non-nil.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2555 `\\[vhdl-fixup-whitespace-region]' fixes up whitespace in a region. That is, operator symbols
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2556 are surrounded by one space, and multiple spaces are eliminated.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2557
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2558 - PORT TRANSLATION: Generic and port clauses from entity or component
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2559 declarations can be copied (`\\[vhdl-port-copy]') and pasted as entity and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2560 component declarations, as component instantiations and corresponding
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2561 internal constants and signals, as a generic map with constants as actual
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2562 parameters, and as a test bench (menu).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2563 A clause with several generic/port names on the same line can be flattened
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2564 (`\\[vhdl-port-flatten]') so that only one name per line exists. Names for actual
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2565 ports, instances, test benches, and design-under-test instances can be
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2566 derived from existing names according to variables `vhdl-...-name'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2567 Variables `vhdl-testbench-...' allow the insertion of additional templates
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2568 into a test bench. New files are created for the test bench entity and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2569 architecture according to variable `vhdl-testbench-create-files'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2570 See customization group `vhdl-port'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2571
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2572 - TEST BENCH GENERATION: See PORT TRANSLATION.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2573
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2574 - KEY BINDINGS: Key bindings (`C-c ...') exist for most commands (see in
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2575 menu).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2576
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2577 - VHDL MENU: All commands can be invoked from the VHDL menu.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2578
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2579 - FILE BROWSER: The speedbar allows browsing of directories and file contents.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2580 It can be accessed from the VHDL menu and is automatically opened if
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2581 variable `vhdl-speedbar' is non-nil.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2582 In speedbar, open files and directories with `mouse-2' on the name and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2583 browse/rescan their contents with `mouse-2'/`S-mouse-2' on the `+'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2584
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2585 - DESIGN HIERARCHY BROWSER: The speedbar can also be used for browsing the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2586 hierarchy of design units contained in the source files of the current
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2587 directory or in the source files/directories specified for a project (see
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2588 variable `vhdl-project-alist').
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2589 The speedbar can be switched between file and hierarchy browsing mode in the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2590 VHDL menu or by typing `f' and `h' in speedbar.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2591 In speedbar, open design units with `mouse-2' on the name and browse their
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2592 hierarchy with `mouse-2' on the `+'. The hierarchy can be rescanned and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2593 ports directly be copied from entities by using the speedbar menu.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2594
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2595 - PROJECTS: Projects can be defined in variable `vhdl-project-alist' and a
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2596 current project be selected using variable `vhdl-project' (permanently) or
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2597 from the menu (temporarily). For each project, a title string (for the file
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2598 headers) and source files/directories (for the hierarchy browser) can be
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2599 specified.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2600
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2601 - SPECIAL MENUES: As an alternative to the speedbar, an index menu can
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2602 be added (set variable `vhdl-index-menu' to non-nil) or made accessible
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2603 as a mouse menu (e.g. add \"(global-set-key '[S-down-mouse-3] 'imenu)\" to
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2604 your start-up file) for browsing the file contents. Also, a source file menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2605 can be added (set variable `vhdl-source-file-menu' to non-nil) for browsing
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2606 the current directory for VHDL source files.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2607
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2608 - SOURCE FILE COMPILATION: The syntax of the current buffer can be analyzed
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2609 by calling a VHDL compiler (menu, `\\[vhdl-compile]'). The compiler to be used is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2610 specified by variable `vhdl-compiler'. The available compilers are listed
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2611 in variable `vhdl-compiler-alist' including all required compilation command,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2612 destination directory, and error message syntax information. New compilers
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2613 can be added. Additional compile command options can be set in variable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2614 `vhdl-compiler-options'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2615 An entire hierarchy of source files can be compiled by the `make' command
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2616 \(menu, `\\[vhdl-make]'). This only works if an appropriate Makefile exists.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2617 The make command itself as well as a command to generate a Makefile can also
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2618 be specified in variable `vhdl-compiler-alist'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2619
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2620 - VHDL STANDARDS: The VHDL standards to be used are specified in variable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2621 `vhdl-standard'. Available standards are: VHDL'87/'93, VHDL-AMS,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2622 Math Packages.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2623
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2624 - KEYWORD CASE: Lower and upper case for keywords and standardized types,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2625 attributes, and enumeration values is supported. If the variable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2626 `vhdl-upper-case-keywords' is set to non-nil, keywords can be typed in lower
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2627 case and are converted into upper case automatically (not for types,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2628 attributes, and enumeration values). The case of keywords, types,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2629 attributes,and enumeration values can be fixed for an entire region (menu)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2630 or buffer (`\\[vhdl-fix-case-buffer]') according to the variables
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2631 `vhdl-upper-case-{keywords,types,attributes,enum-values}'.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2632
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2633 - HIGHLIGHTING (fontification): Keywords and standardized types, attributes,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2634 enumeration values, and function names (controlled by variable
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2635 `vhdl-highlight-keywords'), as well as comments, strings, and template
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2636 prompts are highlighted using different colors. Unit, subprogram, signal,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2637 variable, constant, parameter and generic/port names in declarations as well
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2638 as labels are highlighted if variable `vhdl-highlight-names' is non-nil.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2639
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2640 Additional reserved words or words with a forbidden syntax (e.g. words that
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2641 should be avoided) can be specified in variable `vhdl-forbidden-words' or
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2642 `vhdl-forbidden-syntax' and be highlighted in a warning color (variable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2643 `vhdl-highlight-forbidden-words'). Verilog keywords are highlighted as
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2644 forbidden words if variable `vhdl-highlight-verilog-keywords' is non-nil.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2645
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2646 Words with special syntax can be highlighted by specifying their syntax and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2647 color in variable `vhdl-special-syntax-alist' and by setting variable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2648 `vhdl-highlight-special-words' to non-nil. This allows to establish some
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2649 naming conventions (e.g. to distinguish different kinds of signals or other
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2650 objects by using name suffices) and to support them visually.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2651
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2652 Variable `vhdl-highlight-case-sensitive' can be set to non-nil in order to
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2653 support case-sensitive highlighting. However, keywords are then only
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2654 highlighted if written in lower case.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2655
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2656 Code between \"translate_off\" and \"translate_on\" pragmas is highlighted
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2657 using a different background color if variable `vhdl-highlight-translate-off'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2658 is non-nil.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2659
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2660 All colors can be customized by command `\\[customize-face]'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2661 For highlighting of matching parenthesis, see customization group
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2662 `paren-showing' (`\\[customize-group]').
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2663
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2664 - USER MODELS: VHDL models (templates) can be specified by the user and made
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2665 accessible in the menu, through key bindings (`C-c C-m ...'), or by keyword
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2666 electrification. See custom variable `vhdl-model-alist'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2667
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2668 - HIDE/SHOW: The code of entire VHDL design units can be hidden using the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2669 `Hide/Show' menu or by pressing `S-mouse-2' within the code (variable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2670 `vhdl-hideshow-menu').
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2671
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2672 - PRINTING: Postscript printing with different faces (an optimized set of
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2673 faces is used if `vhdl-print-customize-faces' is non-nil) or colors
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2674 \(if `ps-print-color-p' is non-nil) is possible using the standard Emacs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2675 postscript printing commands. Variable `vhdl-print-two-column' defines
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2676 appropriate default settings for nice landscape two-column printing. The
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2677 paper format can be set by variable `ps-paper-type'. Do not forget to
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2678 switch `ps-print-color-p' to nil for printing on black-and-white printers.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2679
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2680 - CUSTOMIZATION: All variables can easily be customized using the `Customize'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2681 menu entry or `\\[customize-option]' (`\\[customize-group]' for groups).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2682 Some customizations only take effect after some action (read the NOTE in
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2683 the variable documentation). Customization can also be done globally (i.e.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2684 site-wide, read the INSTALL file).
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2685
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2686 - FILE EXTENSIONS: As default, files with extensions \".vhd\" and \".vhdl\" are
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2687 automatically recognized as VHDL source files. To add an extension \".xxx\",
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2688 add the following line to your Emacs start-up file (`.emacs'):
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2689 \(setq auto-mode-alist (cons '(\"\\\\.xxx\\\\'\" . vhdl-mode) auto-mode-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2690
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2691 - HINTS:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2692 - Type `\\[keyboard-quit] \\[keyboard-quit]' to interrupt long operations or if Emacs hangs.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2693
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2694
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2695 Maintenance:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2696 ------------
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2697
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2698 To submit a bug report, enter `\\[vhdl-submit-bug-report]' within VHDL Mode.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2699 Add a description of the problem and include a reproducible test case.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2700
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2701 Questions and enhancement requests can be sent to <vhdl-mode@geocities.com>.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2702
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2703 The `vhdl-mode-announce' mailing list informs about new VHDL Mode releases.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2704 The `vhdl-mode-victims' mailing list informs about new VHDL Mode beta releases.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2705 You are kindly invited to participate in beta testing. Subscribe to above
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2706 mailing lists by sending an email to <vhdl-mode@geocities.com>.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2707
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2708 VHDL Mode is officially distributed on the Emacs VHDL Mode Home Page
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2709 <http://www.geocities.com/SiliconValley/Peaks/8287>, where the latest
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2710 version and release notes can be found.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2711
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2712
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2713 Bugs and Limitations:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2714 ---------------------
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2715
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2716 - Re-indenting large regions or expressions can be slow.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2717 - Indentation bug in simultaneous if- and case-statements (VHDL-AMS).
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2718 - Hideshow does not work under XEmacs.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2719 - Index menu and file tagging in speedbar do not work under XEmacs.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2720 - Parsing compilation error messages for Ikos and Viewlogic VHDL compilers
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2721 does not work under XEmacs.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2722
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2723
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2724 The VHDL Mode Maintainers
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2725 Reto Zimmermann and Rod Whitby
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2726
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2727 Key bindings:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2728 -------------
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2729
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2730 \\{vhdl-mode-map}"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2731 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2732 (kill-all-local-variables)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2733 (setq major-mode 'vhdl-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2734 (setq mode-name "VHDL")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2735
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2736 ;; set maps and tables
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2737 (use-local-map vhdl-mode-map)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2738 (set-syntax-table vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2739 (setq local-abbrev-table vhdl-mode-abbrev-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2740
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2741 ;; set local variable values
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2742 (set (make-local-variable 'paragraph-start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2743 "\\s-*\\(--+\\s-*$\\|[^ -]\\|$\\)")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2744 (set (make-local-variable 'paragraph-separate) paragraph-start)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2745 (set (make-local-variable 'paragraph-ignore-fill-prefix) t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2746 (set (make-local-variable 'require-final-newline) t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2747 (set (make-local-variable 'parse-sexp-ignore-comments) t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2748 (set (make-local-variable 'indent-line-function) 'vhdl-indent-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2749 (set (make-local-variable 'comment-start) "--")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2750 (set (make-local-variable 'comment-end) "")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2751 (set (make-local-variable 'comment-column) vhdl-inline-comment-column)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2752 (set (make-local-variable 'end-comment-column) vhdl-end-comment-column)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2753 (set (make-local-variable 'comment-start-skip) "--+\\s-*")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2754 (set (make-local-variable 'comment-multi-line) nil)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2755 (set (make-local-variable 'indent-tabs-mode) vhdl-indent-tabs-mode)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2756 (set (make-local-variable 'hippie-expand-only-buffers) '(vhdl-mode))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2757 (set (make-local-variable 'hippie-expand-verbose) nil)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2758
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2759 ;; setup the comment indent variable in a Emacs version portable way
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2760 ;; ignore any byte compiler warnings you might get here
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2761 (when (boundp 'comment-indent-function)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2762 (make-local-variable 'comment-indent-function)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2763 (setq comment-indent-function 'vhdl-comment-indent))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2764
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2765 ;; initialize font locking
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2766 (require 'font-lock)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2767 (set (make-local-variable 'font-lock-defaults)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2768 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2769 'vhdl-font-lock-keywords nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2770 (not vhdl-highlight-case-sensitive) '((?\_ . "w")) 'beginning-of-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2771 '(font-lock-syntactic-keywords . vhdl-font-lock-syntactic-keywords)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2772 (set (make-local-variable 'font-lock-support-mode) 'lazy-lock-mode)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2773 (set (make-local-variable 'lazy-lock-defer-contextually) nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2774 (set (make-local-variable 'lazy-lock-defer-on-the-fly) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2775 ; (set (make-local-variable 'lazy-lock-defer-time) 0.1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2776 (set (make-local-variable 'lazy-lock-defer-on-scrolling) t)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2777 (turn-on-font-lock)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2778
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2779 ;; variables for source file compilation
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2780 (require 'compile)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2781 (set (make-local-variable 'compilation-error-regexp-alist) nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2782 (set (make-local-variable 'compilation-file-regexp-alist) nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2783
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2784 ;; add index menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2785 (vhdl-index-menu-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2786 ;; add source file menu
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2787 (if vhdl-source-file-menu (vhdl-add-source-files-menu))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2788 ;; add VHDL menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2789 (easy-menu-add vhdl-mode-menu-list) ; for XEmacs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2790 (easy-menu-define vhdl-mode-menu vhdl-mode-map
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2791 "Menu keymap for VHDL Mode." vhdl-mode-menu-list)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
2792 ;; initialize hideshow and add menu
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2793 (make-local-variable 'hs-minor-mode-hook)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2794 (vhdl-hideshow-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2795 (run-hooks 'menu-bar-update-hook)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2796
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2797 ;; add speedbar
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2798 (when (fboundp 'speedbar)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2799 (condition-case () ; due to bug in `speedbar-el' v0.7.2a
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2800 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2801 (when (and vhdl-speedbar (not (and (boundp 'speedbar-frame)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2802 (frame-live-p speedbar-frame))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2803 (speedbar-frame-mode 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2804 (select-frame speedbar-attached-frame)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2805 (error (vhdl-add-warning "Before using Speedbar, install included `speedbar.el' patch"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2806
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2807 ;; miscellaneous
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2808 (vhdl-ps-print-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2809 (vhdl-modify-date-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2810 (vhdl-mode-line-update)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2811 (message "VHDL Mode %s. Type C-c C-h for documentation."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2812 vhdl-version)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2813 (vhdl-print-warnings)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2814
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2815 ;; run hooks
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2816 (run-hooks 'vhdl-mode-hook))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2817
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2818 (defun vhdl-activate-customizations ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2819 "Activate all customizations on local variables."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2820 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2821 (vhdl-mode-map-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2822 (use-local-map vhdl-mode-map)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2823 (set-syntax-table vhdl-mode-syntax-table)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2824 (setq comment-column vhdl-inline-comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2825 (setq end-comment-column vhdl-end-comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2826 (vhdl-modify-date-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2827 (vhdl-update-mode-menu)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2828 (vhdl-hideshow-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2829 (run-hooks 'menu-bar-update-hook)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2830 (vhdl-mode-line-update))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2831
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2832 (defun vhdl-modify-date-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2833 "Add/remove hook for modifying date when buffer is saved."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2834 (if vhdl-modify-date-on-saving
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2835 (add-hook 'local-write-file-hooks 'vhdl-template-modify-noerror)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2836 (remove-hook 'local-write-file-hooks 'vhdl-template-modify-noerror)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2837
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2838
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2839 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2840 ;;; Documentation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2841 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2842
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2843 (defvar vhdl-doc-keywords nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2844 "Reserved words in VHDL:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2845
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2846 VHDL'93 (IEEE Std 1076-1993):
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2847 `vhdl-93-keywords' : keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2848 `vhdl-93-types' : standardized types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2849 `vhdl-93-attributes' : standardized attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2850 `vhdl-93-enum-values' : standardized enumeration values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2851 `vhdl-93-functions' : standardized functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2852 `vhdl-93-packages' : standardized packages and libraries
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2853
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2854 VHDL-AMS (IEEE Std 1076.1):
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2855 `vhdl-ams-keywords' : keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2856 `vhdl-ams-types' : standardized types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2857 `vhdl-ams-attributes' : standardized attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2858 `vhdl-ams-enum-values' : standardized enumeration values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2859 `vhdl-ams-functions' : standardized functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2860
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2861 Math Packages (IEEE Std 1076.2):
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2862 `vhdl-math-types' : standardized types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2863 `vhdl-math-constants' : standardized constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2864 `vhdl-math-functions' : standardized functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2865 `vhdl-math-packages' : standardized packages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2866
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2867 Forbidden words:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2868 `vhdl-verilog-keywords' : Verilog reserved words
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2869
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2870 NOTE: click `mouse-2' on variable names above (not in XEmacs).")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2871
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2872 (defvar vhdl-doc-coding-style nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2873 "For VHDL coding style and naming convention guidelines, see the following
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2874 references:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2875
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2876 \[1] Ben Cohen.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2877 \"VHDL Coding Styles and Methodologies\".
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2878 Kluwer Academic Publishers, 1999.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2879 http://members.aol.com/vhdlcohen/vhdl/
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2880
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2881 \[2] Michael Keating and Pierre Bricaud.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2882 \"Reuse Methodology Manual\".
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2883 Kluwer Academic Publishers, 1998.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2884 http://www.synopsys.com/products/reuse/rmm.html
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2885
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2886 \[3] European Space Agency.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2887 \"VHDL Modelling Guidelines\".
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2888 ftp://ftp.estec.esa.nl/pub/vhdl/doc/ModelGuide.{pdf,ps}
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2889
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2890 Use variables `vhdl-highlight-special-words' and `vhdl-special-syntax-alist'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2891 to visually support naming conventions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2892
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2893 (defun vhdl-doc-variable (variable)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2894 "Display VARIABLE's documentation in *Help* buffer."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2895 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2896 (with-output-to-temp-buffer "*Help*"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2897 (princ (documentation-property variable 'variable-documentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2898 (unless (string-match "XEmacs" emacs-version)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2899 (help-setup-xref (list #'vhdl-doc-variable variable) (interactive-p)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2900 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2901 (set-buffer standard-output)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2902 (help-mode))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2903 (print-help-return-message)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2904
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2905 (defun vhdl-doc-mode ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2906 "Display VHDL mode documentation in *Help* buffer."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2907 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2908 (with-output-to-temp-buffer "*Help*"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2909 (princ mode-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2910 (princ " mode:\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2911 (princ (documentation 'vhdl-mode))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2912 (unless (string-match "XEmacs" emacs-version)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2913 (help-setup-xref (list #'vhdl-doc-mode) (interactive-p)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2914 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2915 (set-buffer standard-output)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2916 (help-mode))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2917 (print-help-return-message)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2918
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2919
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2920 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2921 ;;; Keywords and standardized words
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2922 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2923
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2924 (defconst vhdl-93-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2925 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2926 "abs" "access" "after" "alias" "all" "and" "architecture" "array"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2927 "assert" "attribute"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2928 "begin" "block" "body" "buffer" "bus"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2929 "case" "component" "configuration" "constant"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2930 "disconnect" "downto"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2931 "else" "elsif" "end" "entity" "exit"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2932 "file" "for" "function"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2933 "generate" "generic" "group" "guarded"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2934 "if" "impure" "in" "inertial" "inout" "is"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2935 "label" "library" "linkage" "literal" "loop"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2936 "map" "mod"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2937 "nand" "new" "next" "nor" "not" "null"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2938 "of" "on" "open" "or" "others" "out"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2939 "package" "port" "postponed" "procedure" "process" "pure"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2940 "range" "record" "register" "reject" "rem" "report" "return"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2941 "rol" "ror"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2942 "select" "severity" "shared" "signal" "sla" "sll" "sra" "srl" "subtype"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2943 "then" "to" "transport" "type"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2944 "unaffected" "units" "until" "use"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2945 "variable"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2946 "wait" "when" "while" "with"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2947 "xnor" "xor"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2948 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2949 "List of VHDL'93 keywords.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2950
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2951 (defconst vhdl-ams-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2952 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2953 "across" "break" "limit" "nature" "noise" "procedural" "quantity"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2954 "reference" "spectrum" "subnature" "terminal" "through"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2955 "tolerance"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2956 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2957 "List of VHDL-AMS keywords.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2958
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2959 (defconst vhdl-verilog-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2960 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2961 "`define" "`else" "`endif" "`ifdef" "`include" "`timescale" "`undef"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2962 "always" "and" "assign" "begin" "buf" "bufif0" "bufif1"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2963 "case" "casex" "casez" "cmos" "deassign" "default" "defparam" "disable"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2964 "edge" "else" "end" "endattribute" "endcase" "endfunction" "endmodule"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2965 "endprimitive" "endspecify" "endtable" "endtask" "event"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2966 "for" "force" "forever" "fork" "function"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2967 "highz0" "highz1" "if" "initial" "inout" "input" "integer" "join" "large"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2968 "macromodule" "makefile" "medium" "module"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2969 "nand" "negedge" "nmos" "nor" "not" "notif0" "notif1" "or" "output"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2970 "parameter" "pmos" "posedge" "primitive" "pull0" "pull1" "pulldown"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2971 "pullup"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2972 "rcmos" "real" "realtime" "reg" "release" "repeat" "rnmos" "rpmos" "rtran"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2973 "rtranif0" "rtranif1"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2974 "scalared" "signed" "small" "specify" "specparam" "strength" "strong0"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2975 "strong1" "supply" "supply0" "supply1"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2976 "table" "task" "time" "tran" "tranif0" "tranif1" "tri" "tri0" "tri1"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2977 "triand" "trior" "trireg"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2978 "vectored" "wait" "wand" "weak0" "weak1" "while" "wire" "wor" "xnor" "xor"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2979 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2980 "List of Verilog keywords as candidate for additional reserved words.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2981
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2982 (defconst vhdl-93-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2983 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2984 "boolean" "bit" "bit_vector" "character" "severity_level" "integer"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2985 "real" "time" "natural" "positive" "string" "line" "text" "side"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2986 "unsigned" "signed" "delay_length" "file_open_kind" "file_open_status"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2987 "std_logic" "std_logic_vector"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2988 "std_ulogic" "std_ulogic_vector"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2989 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2990 "List of VHDL'93 standardized types.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2991
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2992 (defconst vhdl-ams-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2993 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2994 "domain_type" "real_vector"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2995 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2996 "List of VHDL-AMS standardized types.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2997
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2998 (defconst vhdl-math-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
2999 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3000 "complex" "complex_polar"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3001 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3002 "List of Math Packages standardized types.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3003
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3004 (defconst vhdl-93-attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3005 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3006 "base" "left" "right" "high" "low" "pos" "val" "succ"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3007 "pred" "leftof" "rightof" "range" "reverse_range"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3008 "length" "delayed" "stable" "quiet" "transaction"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3009 "event" "active" "last_event" "last_active" "last_value"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3010 "driving" "driving_value" "ascending" "value" "image"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3011 "simple_name" "instance_name" "path_name"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3012 "foreign"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3013 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3014 "List of VHDL'93 standardized attributes.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3015
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3016 (defconst vhdl-ams-attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3017 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3018 "across" "through"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3019 "reference" "contribution" "tolerance"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3020 "dot" "integ" "delayed" "above" "zoh" "ltf" "ztf"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3021 "ramp" "slew"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3022 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3023 "List of VHDL-AMS standardized attributes.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3024
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3025 (defconst vhdl-93-enum-values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3026 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3027 "true" "false"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3028 "note" "warning" "error" "failure"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3029 "read_mode" "write_mode" "append_mode"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3030 "open_ok" "status_error" "name_error" "mode_error"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3031 "fs" "ps" "ns" "us" "ms" "sec" "min" "hr"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3032 "right" "left"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3033 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3034 "List of VHDL'93 standardized enumeration values.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3035
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3036 (defconst vhdl-ams-enum-values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3037 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3038 "quiescent_domain" "time_domain" "frequency_domain"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3039 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3040 "List of VHDL-AMS standardized enumeration values.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3041
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3042 (defconst vhdl-math-constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3043 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3044 "math_e" "math_1_over_e"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3045 "math_pi" "math_two_pi" "math_1_over_pi"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3046 "math_half_pi" "math_q_pi" "math_3_half_pi"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3047 "math_log_of_2" "math_log_of_10" "math_log2_of_e" "math_log10_of_e"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3048 "math_sqrt2" "math_sqrt1_2" "math_sqrt_pi"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3049 "math_deg_to_rad" "math_rad_to_deg"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3050 "cbase_1" "cbase_j" "czero"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3051 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3052 "List of Math Packages standardized constants.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3053
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3054 (defconst vhdl-93-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3055 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3056 "now" "resolved" "rising_edge" "falling_edge"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3057 "read" "readline" "write" "writeline" "endfile"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3058 "resize" "is_X" "std_match"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3059 "shift_left" "shift_right" "rotate_left" "rotate_right"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3060 "to_unsigned" "to_signed" "to_integer"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3061 "to_stdLogicVector" "to_stdULogic" "to_stdULogicVector"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3062 "to_bit" "to_bitVector" "to_X01" "to_X01Z" "to_UX01" "to_01"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3063 "conv_unsigned" "conv_signed" "conv_integer" "conv_std_logic_vector"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3064 "shl" "shr" "ext" "sxt"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3065 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3066 "List of VHDL'93 standardized functions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3067
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3068 (defconst vhdl-ams-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3069 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3070 "frequency"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3071 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3072 "List of VHDL-AMS standardized functions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3073
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3074 (defconst vhdl-math-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3075 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3076 "sign" "ceil" "floor" "round" "trunc" "fmax" "fmin" "uniform"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3077 "sqrt" "cbrt" "exp" "log"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3078 "sin" "cos" "tan" "arcsin" "arccos" "arctan"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3079 "sinh" "cosh" "tanh" "arcsinh" "arccosh" "arctanh"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3080 "cmplx" "complex_to_polar" "polar_to_complex" "arg" "conj"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3081 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3082 "List of Math Packages standardized functions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3083
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3084 (defconst vhdl-93-packages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3085 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3086 "std_logic_1164" "numeric_std" "numeric_bit"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3087 "standard" "textio"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3088 "std_logic_arith" "std_logic_signed" "std_logic_unsigned"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3089 "std_logic_misc" "std_logic_textio"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3090 "ieee" "std" "work"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3091 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3092 "List of VHDL'93 standardized packages and libraries.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3093
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3094 (defconst vhdl-math-packages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3095 '(
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3096 "math_real" "math_complex"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3097 )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3098 "List of Math Packages standardized packages and libraries.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3099
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3100 (defvar vhdl-keywords nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3101 "List of VHDL keywords.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3102
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3103 (defvar vhdl-types nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3104 "List of VHDL standardized types.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3105
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3106 (defvar vhdl-attributes nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3107 "List of VHDL standardized attributes.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3108
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3109 (defvar vhdl-enum-values nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3110 "List of VHDL standardized enumeration values.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3111
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3112 (defvar vhdl-constants nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3113 "List of VHDL standardized constants.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3114
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3115 (defvar vhdl-functions nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3116 "List of VHDL standardized functions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3117
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3118 (defvar vhdl-packages nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3119 "List of VHDL standardized packages and libraries.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3120
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3121 (defvar vhdl-reserved-words nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3122 "List of additional reserved words.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3123
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3124 (defvar vhdl-keywords-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3125 "Regexp for VHDL keywords.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3126
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3127 (defvar vhdl-types-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3128 "Regexp for VHDL standardized types.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3129
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3130 (defvar vhdl-attributes-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3131 "Regexp for VHDL standardized attributes.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3132
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3133 (defvar vhdl-enum-values-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3134 "Regexp for VHDL standardized enumeration values.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3135
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3136 (defvar vhdl-functions-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3137 "Regexp for VHDL standardized functions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3138
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3139 (defvar vhdl-packages-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3140 "Regexp for VHDL standardized packages and libraries.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3141
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3142 (defvar vhdl-reserved-words-regexp nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3143 "Regexp for additional reserved words.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3144
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3145 (defun vhdl-words-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3146 "Initialize reserved words."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3147 (setq vhdl-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3148 (append vhdl-93-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3149 (when (vhdl-standard-p 'ams) vhdl-ams-keywords)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3150 (setq vhdl-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3151 (append vhdl-93-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3152 (when (vhdl-standard-p 'ams) vhdl-ams-types)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3153 (when (vhdl-standard-p 'math) vhdl-math-types)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3154 (setq vhdl-attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3155 (append vhdl-93-attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3156 (when (vhdl-standard-p 'ams) vhdl-ams-attributes)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3157 (setq vhdl-enum-values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3158 (append vhdl-93-enum-values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3159 (when (vhdl-standard-p 'ams) vhdl-ams-enum-values)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3160 (setq vhdl-constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3161 (append (when (vhdl-standard-p 'math) vhdl-math-constants)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3162 (setq vhdl-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3163 (append vhdl-93-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3164 (when (vhdl-standard-p 'ams) vhdl-ams-functions)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3165 (when (vhdl-standard-p 'math) vhdl-math-functions)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3166 (setq vhdl-packages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3167 (append vhdl-93-packages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3168 (when (vhdl-standard-p 'math) vhdl-math-packages)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3169 (setq vhdl-reserved-words
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3170 (append (when vhdl-highlight-forbidden-words vhdl-forbidden-words)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3171 (when vhdl-highlight-verilog-keywords vhdl-verilog-keywords)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3172 '("")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3173 (setq vhdl-keywords-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3174 (concat "\\<\\(" (regexp-opt vhdl-keywords) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3175 (setq vhdl-types-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3176 (concat "\\<\\(" (regexp-opt vhdl-types) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3177 (setq vhdl-attributes-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3178 (concat "\\<\\(" (regexp-opt vhdl-attributes) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3179 (setq vhdl-enum-values-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3180 (concat "\\<\\(" (regexp-opt vhdl-enum-values) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3181 (setq vhdl-functions-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3182 (concat "\\<\\(" (regexp-opt vhdl-functions) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3183 (setq vhdl-packages-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3184 (concat "\\<\\(" (regexp-opt vhdl-packages) "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3185 (setq vhdl-reserved-words-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3186 (concat "\\<\\("
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3187 (unless (equal vhdl-forbidden-syntax "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3188 (concat vhdl-forbidden-syntax "\\|"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3189 (regexp-opt vhdl-reserved-words)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3190 "\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3191 (vhdl-abbrev-list-init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3192
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3193 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3194 ;; Words to expand
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3195
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3196 (defvar vhdl-abbrev-list nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3197 "Predefined abbreviations for VHDL.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3198
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3199 (defun vhdl-abbrev-list-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3200 (setq vhdl-abbrev-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3201 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3202 (list vhdl-upper-case-keywords) vhdl-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3203 (list vhdl-upper-case-types) vhdl-types
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3204 (list vhdl-upper-case-attributes) vhdl-attributes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3205 (list vhdl-upper-case-enum-values) vhdl-enum-values
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3206 (list vhdl-upper-case-constants) vhdl-constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3207 (list nil) vhdl-functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3208 (list nil) vhdl-packages)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3209
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3210 ;; initialize reserved words for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3211 (vhdl-words-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3212
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3213
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3214 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3215 ;;; Syntax analysis and indentation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3216 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3217
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3218 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3219 ;; Syntax analysis
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3220
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3221 ;; constant regular expressions for looking at various constructs
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3222
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3223 (defconst vhdl-symbol-key "\\(\\w\\|\\s_\\)+"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3224 "Regexp describing a VHDL symbol.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3225 We cannot use just `word' syntax class since `_' cannot be in word
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3226 class. Putting underscore in word class breaks forward word movement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3227 behavior that users are familiar with.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3228
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3229 (defconst vhdl-case-header-key "case[( \t\n][^;=>]+[) \t\n]is"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3230 "Regexp describing a case statement header key.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3231
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3232 (defconst vhdl-label-key
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3233 (concat "\\(" vhdl-symbol-key "\\s-*:\\)[^=]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3234 "Regexp describing a VHDL label.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3235
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3236 ;; Macro definitions:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3237
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3238 (defmacro vhdl-point (position)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3239 "Return the value of point at certain commonly referenced POSITIONs.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3240 POSITION can be one of the following symbols:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3241
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3242 bol -- beginning of line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3243 eol -- end of line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3244 bod -- beginning of defun
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3245 boi -- back to indentation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3246 eoi -- last whitespace on line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3247 ionl -- indentation of next line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3248 iopl -- indentation of previous line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3249 bonl -- beginning of next line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3250 bopl -- beginning of previous line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3251
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3252 This function does not modify point or mark."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3253 (or (and (eq 'quote (car-safe position))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3254 (null (cdr (cdr position))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3255 (error "Bad buffer position requested: %s" position))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3256 (setq position (nth 1 position))
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3257 `(let ((here (point)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3258 ,@(cond
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3259 ((eq position 'bol) '((beginning-of-line)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3260 ((eq position 'eol) '((end-of-line)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3261 ((eq position 'bod) '((save-match-data
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3262 (vhdl-beginning-of-defun))))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3263 ((eq position 'boi) '((back-to-indentation)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3264 ((eq position 'eoi) '((end-of-line)(skip-chars-backward " \t")))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3265 ((eq position 'bonl) '((forward-line 1)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3266 ((eq position 'bopl) '((forward-line -1)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3267 ((eq position 'iopl)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3268 '((forward-line -1)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3269 (back-to-indentation)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3270 ((eq position 'ionl)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3271 '((forward-line 1)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3272 (back-to-indentation)))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3273 (t (error "Unknown buffer position requested: %s" position))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3274 )
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3275 (prog1
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3276 (point)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3277 (goto-char here))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3278 ;; workaround for an Emacs18 bug -- blech! Well, at least it
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3279 ;; doesn't hurt for v19
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3280 ,@nil
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3281 ))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3282
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3283 (defmacro vhdl-safe (&rest body)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3284 "Safely execute BODY, return nil if an error occurred."
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3285 `(condition-case nil
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3286 (progn ,@body)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3287 (error nil)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3288
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3289 (defmacro vhdl-add-syntax (symbol &optional relpos)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3290 "A simple macro to append the syntax in SYMBOL to the syntax list.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3291 Try to increase performance by using this macro."
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3292 `(setq vhdl-syntactic-context
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3293 (cons (cons ,symbol ,relpos) vhdl-syntactic-context)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3294
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3295 (defmacro vhdl-has-syntax (symbol)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3296 "A simple macro to return check the syntax list.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3297 Try to increase performance by using this macro."
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
3298 `(assoc ,symbol vhdl-syntactic-context))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3299
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3300 ;; Syntactic element offset manipulation:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3301
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3302 (defun vhdl-read-offset (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3303 "Read new offset value for LANGELEM from minibuffer.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3304 Return a legal value only."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3305 (let ((oldoff (format "%s" (cdr-safe (assq langelem vhdl-offsets-alist))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3306 (errmsg "Offset must be int, func, var, or one of +, -, ++, --: ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3307 (prompt "Offset: ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3308 offset input interned)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3309 (while (not offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3310 (setq input (read-string prompt oldoff)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3311 offset (cond ((string-equal "+" input) '+)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3312 ((string-equal "-" input) '-)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3313 ((string-equal "++" input) '++)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3314 ((string-equal "--" input) '--)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3315 ((string-match "^-?[0-9]+$" input)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3316 (string-to-int input))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3317 ((fboundp (setq interned (intern input)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3318 interned)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3319 ((boundp interned) interned)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3320 ;; error, but don't signal one, keep trying
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3321 ;; to read an input value
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3322 (t (ding)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3323 (setq prompt errmsg)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3324 nil))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3325 offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3326
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3327 (defun vhdl-set-offset (symbol offset &optional add-p)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3328 "Change the value of a syntactic element symbol in `vhdl-offsets-alist'.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3329 SYMBOL is the syntactic element symbol to change and OFFSET is the new
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3330 offset for that syntactic element. Optional ADD says to add SYMBOL to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3331 `vhdl-offsets-alist' if it doesn't already appear there."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3332 (interactive
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3333 (let* ((langelem
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3334 (intern (completing-read
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3335 (concat "Syntactic symbol to change"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3336 (if current-prefix-arg " or add" "")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3337 ": ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3338 (mapcar
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3339 (function
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3340 (lambda (langelem)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3341 (cons (format "%s" (car langelem)) nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3342 vhdl-offsets-alist)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3343 nil (not current-prefix-arg)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3344 ;; initial contents tries to be the last element
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3345 ;; on the syntactic analysis list for the current
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3346 ;; line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3347 (let* ((syntax (vhdl-get-syntactic-context))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3348 (len (length syntax))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3349 (ic (format "%s" (car (nth (1- len) syntax)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3350 ic)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3351 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3352 (offset (vhdl-read-offset langelem)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3353 (list langelem offset current-prefix-arg)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3354 ;; sanity check offset
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3355 (or (eq offset '+)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3356 (eq offset '-)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3357 (eq offset '++)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3358 (eq offset '--)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3359 (integerp offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3360 (fboundp offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3361 (boundp offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3362 (error "Offset must be int, func, var, or one of +, -, ++, --: %s"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3363 offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3364 (let ((entry (assq symbol vhdl-offsets-alist)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3365 (if entry
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3366 (setcdr entry offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3367 (if add-p
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3368 (setq vhdl-offsets-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3369 (cons (cons symbol offset) vhdl-offsets-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3370 (error "%s is not a valid syntactic symbol" symbol))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3371 (vhdl-keep-region-active))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3372
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3373 (defun vhdl-set-style (style &optional local)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3374 "Set `vhdl-mode' variables to use one of several different indentation styles.
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3375 STYLE is a string representing the desired style and optional LOCAL is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3376 a flag which, if non-nil, means to make the style variables being
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3377 changed buffer local, instead of the default, which is to set the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3378 global variables. Interactively, the flag comes from the prefix
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3379 argument. The styles are chosen from the `vhdl-style-alist' variable."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3380 (interactive (list (completing-read "Use which VHDL indentation style? "
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3381 vhdl-style-alist nil t)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3382 current-prefix-arg))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3383 (let ((vars (cdr (assoc style vhdl-style-alist))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3384 (or vars
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3385 (error "Invalid VHDL indentation style `%s'" style))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3386 ;; set all the variables
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3387 (mapcar
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3388 (function
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3389 (lambda (varentry)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3390 (let ((var (car varentry))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3391 (val (cdr varentry)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3392 (and local
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3393 (make-local-variable var))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3394 ;; special case for vhdl-offsets-alist
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3395 (if (not (eq var 'vhdl-offsets-alist))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3396 (set var val)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3397 ;; reset vhdl-offsets-alist to the default value first
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3398 (setq vhdl-offsets-alist (copy-alist vhdl-offsets-alist-default))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3399 ;; now set the langelems that are different
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3400 (mapcar
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3401 (function
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3402 (lambda (langentry)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3403 (let ((langelem (car langentry))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3404 (offset (cdr langentry)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3405 (vhdl-set-offset langelem offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3406 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3407 val))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3408 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3409 vars))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3410 (vhdl-keep-region-active))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3411
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3412 (defun vhdl-get-offset (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3413 "Get offset from LANGELEM which is a cons cell of the form:
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3414 \(SYMBOL . RELPOS). The symbol is matched against
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3415 vhdl-offsets-alist and the offset found there is either returned,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3416 or added to the indentation at RELPOS. If RELPOS is nil, then
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3417 the offset is simply returned."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3418 (let* ((symbol (car langelem))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3419 (relpos (cdr langelem))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3420 (match (assq symbol vhdl-offsets-alist))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3421 (offset (cdr-safe match)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3422 ;; offset can be a number, a function, a variable, or one of the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3423 ;; symbols + or -
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3424 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3425 ((not match)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3426 (if vhdl-strict-syntax-p
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3427 (error "Don't know how to indent a %s" symbol)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3428 (setq offset 0
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3429 relpos 0)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3430 ((eq offset '+) (setq offset vhdl-basic-offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3431 ((eq offset '-) (setq offset (- vhdl-basic-offset)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3432 ((eq offset '++) (setq offset (* 2 vhdl-basic-offset)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3433 ((eq offset '--) (setq offset (* 2 (- vhdl-basic-offset))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3434 ((and (not (numberp offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3435 (fboundp offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3436 (setq offset (funcall offset langelem)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3437 ((not (numberp offset))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3438 (setq offset (eval offset)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3439 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3440 (+ (if (and relpos
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3441 (< relpos (vhdl-point 'bol)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3442 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3443 (goto-char relpos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3444 (current-column))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3445 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3446 offset)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3447
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3448 ;; Syntactic support functions:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3449
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3450 ;; Returns `comment' if in a comment, `string' if in a string literal,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3451 ;; or nil if not in a literal at all. Optional LIM is used as the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3452 ;; backward limit of the search. If omitted, or nil, (point-min) is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3453 ;; used.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3454
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3455 (defun vhdl-in-literal (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3456 "Determine if point is in a VHDL literal."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3457 (save-excursion
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3458 (let ((state (parse-partial-sexp (vhdl-point 'bol) (point))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3459 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3460 ((nth 3 state) 'string)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3461 ((nth 4 state) 'comment)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3462 (t nil)))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3463
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3464 ;; This is the best we can do in Win-Emacs.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3465 (defun vhdl-win-il (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3466 "Determine if point is in a VHDL literal."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3467 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3468 (let* ((here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3469 (state nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3470 (match nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3471 (lim (or lim (vhdl-point 'bod))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3472 (goto-char lim )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3473 (while (< (point) here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3474 (setq match
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3475 (and (re-search-forward "--\\|[\"']"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3476 here 'move)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3477 (buffer-substring (match-beginning 0) (match-end 0))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3478 (setq state
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3479 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3480 ;; no match
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3481 ((null match) nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3482 ;; looking at the opening of a VHDL style comment
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3483 ((string= "--" match)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3484 (if (<= here (progn (end-of-line) (point))) 'comment))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3485 ;; looking at the opening of a double quote string
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3486 ((string= "\"" match)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3487 (if (not (save-restriction
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3488 ;; this seems to be necessary since the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3489 ;; re-search-forward will not work without it
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3490 (narrow-to-region (point) here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3491 (re-search-forward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3492 ;; this regexp matches a double quote
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3493 ;; which is preceded by an even number
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3494 ;; of backslashes, including zero
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3495 "\\([^\\]\\|^\\)\\(\\\\\\\\\\)*\"" here 'move)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3496 'string))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3497 ;; looking at the opening of a single quote string
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3498 ((string= "'" match)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3499 (if (not (save-restriction
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3500 ;; see comments from above
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3501 (narrow-to-region (point) here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3502 (re-search-forward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3503 ;; this matches a single quote which is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3504 ;; preceded by zero or two backslashes.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3505 "\\([^\\]\\|^\\)\\(\\\\\\\\\\)?'"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3506 here 'move)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3507 'string))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3508 (t nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3509 ) ; end-while
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3510 state)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3511
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3512 (and (string-match "Win-Emacs" emacs-version)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3513 (fset 'vhdl-in-literal 'vhdl-win-il))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3514
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3515 ;; Skipping of "syntactic whitespace". Syntactic whitespace is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3516 ;; defined as lexical whitespace or comments. Search no farther back
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3517 ;; or forward than optional LIM. If LIM is omitted, (point-min) is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3518 ;; used for backward skipping, (point-max) is used for forward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3519 ;; skipping.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3520
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3521 (defun vhdl-forward-syntactic-ws (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3522 "Forward skip of syntactic whitespace."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3523 (save-restriction
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3524 (let* ((lim (or lim (point-max)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3525 (here lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3526 (hugenum (point-max)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3527 (narrow-to-region lim (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3528 (while (/= here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3529 (setq here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3530 (forward-comment hugenum))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3531 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3532
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3533 ;; This is the best we can do in Win-Emacs.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3534 (defun vhdl-win-fsws (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3535 "Forward skip syntactic whitespace for Win-Emacs."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3536 (let ((lim (or lim (point-max)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3537 stop)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3538 (while (not stop)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3539 (skip-chars-forward " \t\n\r\f" lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3540 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3541 ;; vhdl comment
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3542 ((looking-at "--") (end-of-line))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3543 ;; none of the above
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3544 (t (setq stop t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3545 ))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3546
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3547 (and (string-match "Win-Emacs" emacs-version)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3548 (fset 'vhdl-forward-syntactic-ws 'vhdl-win-fsws))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3549
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3550 (defun vhdl-backward-syntactic-ws (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3551 "Backward skip over syntactic whitespace."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3552 (save-restriction
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3553 (let* ((lim (or lim (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3554 (here lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3555 (hugenum (- (point-max))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3556 (if (< lim (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3557 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3558 (narrow-to-region lim (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3559 (while (/= here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3560 (setq here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3561 (forward-comment hugenum)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3562 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3563 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3564
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3565 ;; This is the best we can do in Win-Emacs.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3566 (defun vhdl-win-bsws (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3567 "Backward skip syntactic whitespace for Win-Emacs."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3568 (let ((lim (or lim (vhdl-point 'bod)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3569 stop)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3570 (while (not stop)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3571 (skip-chars-backward " \t\n\r\f" lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3572 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3573 ;; vhdl comment
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3574 ((eq (vhdl-in-literal lim) 'comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3575 (skip-chars-backward "^-" lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3576 (skip-chars-backward "-" lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3577 (while (not (or (and (= (following-char) ?-)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3578 (= (char-after (1+ (point))) ?-))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3579 (<= (point) lim)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3580 (skip-chars-backward "^-" lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3581 (skip-chars-backward "-" lim)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3582 ;; none of the above
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3583 (t (setq stop t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3584 ))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3585
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3586 (and (string-match "Win-Emacs" emacs-version)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3587 (fset 'vhdl-backward-syntactic-ws 'vhdl-win-bsws))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3588
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3589 ;; Functions to help finding the correct indentation column:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3590
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3591 (defun vhdl-first-word (point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3592 "If the keyword at POINT is at boi, then return (current-column) at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3593 that point, else nil."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3594 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3595 (and (goto-char point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3596 (eq (point) (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3597 (current-column))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3598
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3599 (defun vhdl-last-word (point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3600 "If the keyword at POINT is at eoi, then return (current-column) at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3601 that point, else nil."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3602 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3603 (and (goto-char point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3604 (save-excursion (or (eq (progn (forward-sexp) (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3605 (vhdl-point 'eoi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3606 (looking-at "\\s-*\\(--\\)?")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3607 (current-column))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3608
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3609 ;; Core syntactic evaluation functions:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3610
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3611 (defconst vhdl-libunit-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3612 "\\b\\(architecture\\|configuration\\|entity\\|package\\)\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3613
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3614 (defun vhdl-libunit-p ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3615 (and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3616 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3617 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3618 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3619 (not (looking-at "is\\b[^_]")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3620 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3621 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3622 (and (not (looking-at "use\\b[^_]"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3623 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3624 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3625 (vhdl-forward-syntactic-ws)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3626 (/= (following-char) ?:))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3627 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3628
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3629 (defconst vhdl-defun-re
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3630 "\\b\\(architecture\\|block\\|configuration\\|entity\\|package\\|process\\|procedural\\|procedure\\|function\\)\\b[^_]")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3631
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3632 (defun vhdl-defun-p ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3633 (save-excursion
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3634 (if (looking-at "block\\|process\\|procedural")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3635 ;; "block", "process", "procedural":
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3636 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3637 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3638 (not (looking-at "end\\s-+\\w")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3639 ;; "architecture", "configuration", "entity",
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3640 ;; "package", "procedure", "function":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3641 t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3642
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3643 (defun vhdl-corresponding-defun ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3644 "If the word at the current position corresponds to a \"defun\"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3645 keyword, then return a string that can be used to find the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3646 corresponding \"begin\" keyword, else return nil."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3647 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3648 (and (looking-at vhdl-defun-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3649 (vhdl-defun-p)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3650 (if (looking-at "block\\|process\\|procedural")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3651 ;; "block", "process". "procedural:
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3652 (buffer-substring (match-beginning 0) (match-end 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3653 ;; "architecture", "configuration", "entity", "package",
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3654 ;; "procedure", "function":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3655 "is"))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3656
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3657 (defconst vhdl-begin-fwd-re
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3658 "\\b\\(is\\|begin\\|block\\|component\\|generate\\|then\\|else\\|loop\\|process\\|procedural\\|units\\|record\\|for\\)\\b\\([^_]\\|\\'\\)"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3659 "A regular expression for searching forward that matches all known
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3660 \"begin\" keywords.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3661
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3662 (defconst vhdl-begin-bwd-re
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3663 "\\b\\(is\\|begin\\|block\\|component\\|generate\\|then\\|else\\|loop\\|process\\|procedural\\|units\\|record\\|for\\)\\b[^_]"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3664 "A regular expression for searching backward that matches all known
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3665 \"begin\" keywords.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3666
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3667 (defun vhdl-begin-p (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3668 "Return t if we are looking at a real \"begin\" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3669 Assumes that the caller will make sure that we are looking at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3670 vhdl-begin-fwd-re, and are not inside a literal, and that we are not in
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3671 the middle of an identifier that just happens to contain a \"begin\"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3672 keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3673 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3674 ;; "[architecture|case|configuration|entity|package|
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3675 ;; procedure|function] ... is":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3676 ((and (looking-at "i")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3677 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3678 ;; Skip backward over first sexp (needed to skip over a
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3679 ;; procedure interface list, and is harmless in other
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3680 ;; situations). Note that we need "return" in the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3681 ;; following search list so that we don't run into
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3682 ;; semicolons in the function interface list.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3683 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3684 (let (foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3685 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3686 (re-search-backward
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3687 ";\\|\\b\\(architecture\\|case\\|configuration\\|entity\\|package\\|procedure\\|return\\|is\\|begin\\|process\\|procedural\\|block\\)\\b[^_]"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3688 lim 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3689 (if (or (= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3690 (vhdl-in-literal lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3691 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3692 (setq foundp t))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3693 (and (/= (following-char) ?\;)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3694 (not (looking-at "is\\|begin\\|process\\|procedural\\|block")))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3695 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3696 ;; "begin", "then":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3697 ((looking-at "be\\|t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3698 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3699 ;; "else":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3700 ((and (looking-at "e")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3701 ;; make sure that the "else" isn't inside a
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3702 ;; conditional signal assignment.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3703 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3704 (re-search-backward ";\\|\\bwhen\\b[^_]" lim 'move)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3705 (or (eq (following-char) ?\;)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3706 (eq (point) lim))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3707 t)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3708 ;; "block", "generate", "loop", "process", "procedural",
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3709 ;; "units", "record":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3710 ((and (looking-at "bl\\|[glpur]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3711 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3712 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3713 (not (looking-at "end\\s-+\\w"))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3714 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3715 ;; "component":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3716 ((and (looking-at "c")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3717 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3718 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3719 (not (looking-at "end\\s-+\\w")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3720 ;; look out for the dreaded entity class in an attribute
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3721 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3722 (vhdl-backward-syntactic-ws lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3723 (/= (preceding-char) ?:)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3724 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3725 ;; "for" (inside configuration declaration):
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3726 ((and (looking-at "f")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3727 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3728 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3729 (not (looking-at "end\\s-+\\w")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3730 (vhdl-has-syntax 'configuration))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3731 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3732 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3733
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3734 (defun vhdl-corresponding-mid (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3735 (cond
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3736 ((looking-at "is\\|block\\|generate\\|process\\|procedural")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3737 "begin")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3738 ((looking-at "then")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3739 "<else>")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3740 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3741 "end")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3742
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3743 (defun vhdl-corresponding-end (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3744 "If the word at the current position corresponds to a \"begin\"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3745 keyword, then return a vector containing enough information to find
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3746 the corresponding \"end\" keyword, else return nil. The keyword to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3747 search forward for is aref 0. The column in which the keyword must
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3748 appear is aref 1 or nil if any column is suitable.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3749 Assumes that the caller will make sure that we are not in the middle
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3750 of an identifier that just happens to contain a \"begin\" keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3751 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3752 (and (looking-at vhdl-begin-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3753 (/= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3754 (not (vhdl-in-literal lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3755 (vhdl-begin-p lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3756 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3757 ;; "is", "generate", "loop":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3758 ((looking-at "[igl]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3759 (vector "end"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3760 (and (vhdl-last-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3761 (or (vhdl-first-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3762 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3763 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3764 (vhdl-backward-skip-label lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3765 (vhdl-first-word (point)))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3766 ;; "begin", "else", "for":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3767 ((looking-at "be\\|[ef]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3768 (vector "end"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3769 (and (vhdl-last-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3770 (or (vhdl-first-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3771 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3772 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3773 (vhdl-backward-skip-label lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3774 (vhdl-first-word (point)))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3775 ;; "component", "units", "record":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3776 ((looking-at "[cur]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3777 ;; The first end found will close the block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3778 (vector "end" nil))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3779 ;; "block", "process", "procedural":
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3780 ((looking-at "bl\\|p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3781 (vector "end"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3782 (or (vhdl-first-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3783 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3784 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3785 (vhdl-backward-skip-label lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3786 (vhdl-first-word (point))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3787 ;; "then":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3788 ((looking-at "t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3789 (vector "elsif\\|else\\|end\\s-+if"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3790 (and (vhdl-last-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3791 (or (vhdl-first-word (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3792 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3793 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3794 (vhdl-backward-skip-label lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3795 (vhdl-first-word (point)))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3796 ))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3797
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3798 (defconst vhdl-end-fwd-re "\\b\\(end\\|else\\|elsif\\)\\b\\([^_]\\|\\'\\)")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3799
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3800 (defconst vhdl-end-bwd-re "\\b\\(end\\|else\\|elsif\\)\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3801
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3802 (defun vhdl-end-p (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3803 "Return t if we are looking at a real \"end\" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3804 Assumes that the caller will make sure that we are looking at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3805 vhdl-end-fwd-re, and are not inside a literal, and that we are not in
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3806 the middle of an identifier that just happens to contain an \"end\"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3807 keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3808 (or (not (looking-at "else"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3809 ;; make sure that the "else" isn't inside a conditional signal
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3810 ;; assignment.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3811 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3812 (re-search-backward ";\\|\\bwhen\\b[^_]" lim 'move)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3813 (or (eq (following-char) ?\;)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3814 (eq (point) lim)))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3815
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3816 (defun vhdl-corresponding-begin (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3817 "If the word at the current position corresponds to an \"end\"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3818 keyword, then return a vector containing enough information to find
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3819 the corresponding \"begin\" keyword, else return nil. The keyword to
47265
93c6c36e6a0d (vhdl-progress-interval, vhdl-corresponding-begin): Fix spacing.
Juanma Barranquero <lekktu@gmail.com>
parents: 42942
diff changeset
3820 search backward for is aref 0. The column in which the keyword must
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3821 appear is aref 1 or nil if any column is suitable. The supplementary
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3822 keyword to search forward for is aref 2 or nil if this is not
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3823 required. If aref 3 is t, then the \"begin\" keyword may be found in
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3824 the middle of a statement.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3825 Assumes that the caller will make sure that we are not in the middle
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3826 of an identifier that just happens to contain an \"end\" keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3827 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3828 (let (pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3829 (if (and (looking-at vhdl-end-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3830 (not (vhdl-in-literal lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3831 (vhdl-end-p lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3832 (if (looking-at "el")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3833 ;; "else", "elsif":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3834 (vector "if\\|elsif" (vhdl-first-word (point)) "then" nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3835 ;; "end ...":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3836 (setq pos (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3837 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3838 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3839 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3840 ;; "end if":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3841 ((looking-at "if\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3842 (vector "else\\|elsif\\|if"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3843 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3844 "else\\|then" nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3845 ;; "end component":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3846 ((looking-at "component\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3847 (vector (buffer-substring (match-beginning 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3848 (match-end 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3849 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3850 nil nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3851 ;; "end units", "end record":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3852 ((looking-at "\\(units\\|record\\)\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3853 (vector (buffer-substring (match-beginning 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3854 (match-end 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3855 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3856 nil t))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3857 ;; "end block", "end process", "end procedural":
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3858 ((looking-at "\\(block\\|process\\|procedural\\)\\b[^_]")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3859 (vector "begin" (vhdl-first-word pos) nil nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3860 ;; "end case":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3861 ((looking-at "case\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3862 (vector "case" (vhdl-first-word pos) "is" nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3863 ;; "end generate":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3864 ((looking-at "generate\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3865 (vector "generate\\|for\\|if"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3866 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3867 "generate" nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3868 ;; "end loop":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3869 ((looking-at "loop\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3870 (vector "loop\\|while\\|for"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3871 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3872 "loop" nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3873 ;; "end for" (inside configuration declaration):
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3874 ((looking-at "for\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3875 (vector "for" (vhdl-first-word pos) nil nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3876 ;; "end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3877 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3878 (vector "begin\\|architecture\\|configuration\\|entity\\|package\\|procedure\\|function"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3879 (vhdl-first-word pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3880 ;; return an alist of (statement . keyword) mappings
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3881 '(
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3882 ;; "begin ... end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3883 ("begin" . nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3884 ;; "architecture ... is ... begin ... end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3885 ("architecture" . "is")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3886 ;; "configuration ... is ... end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3887 ("configuration" . "is")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3888 ;; "entity ... is ... end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3889 ("entity" . "is")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3890 ;; "package ... is ... end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3891 ("package" . "is")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3892 ;; "procedure ... is ... begin ... end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3893 ("procedure" . "is")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3894 ;; "function ... is ... begin ... end [id]":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3895 ("function" . "is")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3896 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3897 nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3898 ))) ; "end ..."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3899 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3900
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3901 (defconst vhdl-leader-re
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3902 "\\b\\(block\\|component\\|process\\|procedural\\|for\\)\\b[^_]")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3903
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3904 (defun vhdl-end-of-leader ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3905 (save-excursion
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3906 (cond ((looking-at "block\\|process\\|procedural")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3907 (if (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3908 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3909 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3910 (= (following-char) ?\())
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3911 (forward-sexp 2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3912 (forward-sexp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3913 (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3914 ((looking-at "component")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3915 (forward-sexp 2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3916 (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3917 ((looking-at "for")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3918 (forward-sexp 2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3919 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3920 (while (looking-at "[,:(]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3921 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3922 (skip-chars-forward " \t\n"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3923 (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3924 (t nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3925 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3926
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3927 (defconst vhdl-trailer-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3928 "\\b\\(is\\|then\\|generate\\|loop\\)\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3929
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3930 (defconst vhdl-statement-fwd-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3931 "\\b\\(if\\|for\\|while\\)\\b\\([^_]\\|\\'\\)"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3932 "A regular expression for searching forward that matches all known
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3933 \"statement\" keywords.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3934
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3935 (defconst vhdl-statement-bwd-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3936 "\\b\\(if\\|for\\|while\\)\\b[^_]"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3937 "A regular expression for searching backward that matches all known
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3938 \"statement\" keywords.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3939
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3940 (defun vhdl-statement-p (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3941 "Return t if we are looking at a real \"statement\" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3942 Assumes that the caller will make sure that we are looking at
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3943 vhdl-statement-fwd-re, and are not inside a literal, and that we are not
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3944 in the middle of an identifier that just happens to contain a
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
3945 \"statement\" keyword."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3946 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3947 ;; "for" ... "generate":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3948 ((and (looking-at "f")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3949 ;; Make sure it's the start of a parameter specification.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3950 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3951 (forward-sexp 2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3952 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3953 (looking-at "in\\b[^_]"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3954 ;; Make sure it's not an "end for".
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3955 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3956 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3957 (not (looking-at "end\\s-+\\w"))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3958 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3959 ;; "if" ... "then", "if" ... "generate", "if" ... "loop":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3960 ((and (looking-at "i")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3961 ;; Make sure it's not an "end if".
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3962 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3963 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3964 (not (looking-at "end\\s-+\\w"))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3965 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3966 ;; "while" ... "loop":
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3967 ((looking-at "w")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3968 t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3969 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3970
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3971 (defconst vhdl-case-alternative-re "when[( \t\n][^;=>]+=>"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3972 "Regexp describing a case statement alternative key.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3973
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3974 (defun vhdl-case-alternative-p (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3975 "Return t if we are looking at a real case alternative.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3976 Assumes that the caller will make sure that we are looking at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3977 vhdl-case-alternative-re, and are not inside a literal, and that
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3978 we are not in the middle of an identifier that just happens to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3979 contain a \"when\" keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3980 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3981 (let (foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3982 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3983 (re-search-backward ";\\|<=" lim 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3984 (if (or (= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3985 (vhdl-in-literal lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3986 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3987 (setq foundp t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3988 (or (eq (following-char) ?\;)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3989 (eq (point) lim)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3990 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3991
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3992 ;; Core syntactic movement functions:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3993
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3994 (defconst vhdl-b-t-b-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3995 (concat vhdl-begin-bwd-re "\\|" vhdl-end-bwd-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3996
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3997 (defun vhdl-backward-to-block (&optional lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3998 "Move backward to the previous \"begin\" or \"end\" keyword."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
3999 (let (foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4000 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4001 (re-search-backward vhdl-b-t-b-re lim 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4002 (if (or (= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4003 (vhdl-in-literal lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4004 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4005 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4006 ;; "begin" keyword:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4007 ((and (looking-at vhdl-begin-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4008 (/= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4009 (vhdl-begin-p lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4010 (setq foundp 'begin))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4011 ;; "end" keyword:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4012 ((and (looking-at vhdl-end-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4013 (/= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4014 (vhdl-end-p lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4015 (setq foundp 'end))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4016 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4017 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4018 foundp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4019 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4020
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4021 (defun vhdl-forward-sexp (&optional count lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4022 "Move forward across one balanced expression (sexp).
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4023 With COUNT, do it that many times."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4024 (interactive "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4025 (let ((count (or count 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4026 (case-fold-search t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4027 end-vec target)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4028 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4029 (while (> count 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4030 ;; skip whitespace
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4031 (skip-chars-forward " \t\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4032 ;; Check for an unbalanced "end" keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4033 (if (and (looking-at vhdl-end-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4034 (/= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4035 (not (vhdl-in-literal lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4036 (vhdl-end-p lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4037 (not (looking-at "else")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4038 (error
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4039 "Containing expression ends prematurely in vhdl-forward-sexp"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4040 ;; If the current keyword is a "begin" keyword, then find the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4041 ;; corresponding "end" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4042 (if (setq end-vec (vhdl-corresponding-end lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4043 (let (
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4044 ;; end-re is the statement keyword to search for
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4045 (end-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4046 (concat "\\b\\(" (aref end-vec 0) "\\)\\b\\([^_]\\|\\'\\)"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4047 ;; column is either the statement keyword target column
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4048 ;; or nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4049 (column (aref end-vec 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4050 (eol (vhdl-point 'eol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4051 foundp literal placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4052 ;; Look for the statement keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4053 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4054 (re-search-forward end-re nil t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4055 (setq placeholder (match-end 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4056 (goto-char (match-beginning 0)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4057 ;; If we are in a literal, or not in the right target
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4058 ;; column and not on the same line as the begin, then
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4059 ;; try again.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4060 (if (or (and column
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4061 (/= (current-indentation) column)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4062 (> (point) eol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4063 (= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4064 (setq literal (vhdl-in-literal lim)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4065 (if (eq literal 'comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4066 (end-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4067 (forward-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4068 ;; An "else" keyword corresponds to both the opening brace
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4069 ;; of the following sexp and the closing brace of the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4070 ;; previous sexp.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4071 (if (not (looking-at "else"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4072 (goto-char placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4073 (setq foundp t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4074 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4075 (if (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4076 (error "Unbalanced keywords in vhdl-forward-sexp"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4077 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4078 ;; If the current keyword is not a "begin" keyword, then just
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4079 ;; perform the normal forward-sexp.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4080 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4081 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4082 (setq count (1- count))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4083 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4084 (setq target (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4085 (goto-char target)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4086 nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4087
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4088 (defun vhdl-backward-sexp (&optional count lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4089 "Move backward across one balanced expression (sexp).
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4090 With COUNT, do it that many times. LIM bounds any required backward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4091 searches."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4092 (interactive "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4093 (let ((count (or count 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4094 (case-fold-search t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4095 begin-vec target)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4096 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4097 (while (> count 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4098 ;; Perform the normal backward-sexp, unless we are looking at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4099 ;; "else" - an "else" keyword corresponds to both the opening brace
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4100 ;; of the following sexp and the closing brace of the previous sexp.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4101 (if (and (looking-at "else\\b\\([^_]\\|\\'\\)")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4102 (/= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4103 (not (vhdl-in-literal lim)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4104 nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4105 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4106 (if (and (looking-at vhdl-begin-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4107 (/= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4108 (not (vhdl-in-literal lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4109 (vhdl-begin-p lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4110 (error "Containing expression ends prematurely in vhdl-backward-sexp")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4111 ;; If the current keyword is an "end" keyword, then find the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4112 ;; corresponding "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4113 (if (and (setq begin-vec (vhdl-corresponding-begin lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4114 (/= (preceding-char) ?_))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4115 (let (
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4116 ;; begin-re is the statement keyword to search for
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4117 (begin-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4118 (concat "\\b\\(" (aref begin-vec 0) "\\)\\b[^_]"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4119 ;; column is either the statement keyword target column
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4120 ;; or nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4121 (column (aref begin-vec 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4122 ;; internal-p controls where the statement keyword can
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4123 ;; be found.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4124 (internal-p (aref begin-vec 3))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4125 (last-backward (point)) last-forward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4126 foundp literal keyword)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4127 ;; Look for the statement keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4128 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4129 (re-search-backward begin-re lim t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4130 (setq keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4131 (buffer-substring (match-beginning 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4132 (match-end 1))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4133 ;; If we are in a literal or in the wrong column,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4134 ;; then try again.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4135 (if (or (and column
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4136 (and (/= (current-indentation) column)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4137 ;; possibly accept current-column as
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4138 ;; well as current-indentation.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4139 (or (not internal-p)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4140 (/= (current-column) column))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4141 (= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4142 (vhdl-in-literal lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4143 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4144 ;; If there is a supplementary keyword, then
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4145 ;; search forward for it.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4146 (if (and (setq begin-re (aref begin-vec 2))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4147 (or (not (listp begin-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4148 ;; If begin-re is an alist, then find the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4149 ;; element corresponding to the actual
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4150 ;; keyword that we found.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4151 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4152 (setq begin-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4153 (assoc keyword begin-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4154 (and begin-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4155 (setq begin-re (cdr begin-re))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4156 (and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4157 (setq begin-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4158 (concat "\\b\\(" begin-re "\\)\\b[^_]"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4159 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4160 (setq last-forward (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4161 ;; Look for the supplementary keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4162 ;; (bounded by the backward search start
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4163 ;; point).
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4164 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4165 (re-search-forward begin-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4166 last-backward t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4167 (goto-char (match-beginning 1)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4168 ;; If we are in a literal, then try again.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4169 (if (or (= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4170 (setq literal
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4171 (vhdl-in-literal last-forward)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4172 (if (eq literal 'comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4173 (goto-char
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4174 (min (vhdl-point 'eol) last-backward))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4175 (forward-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4176 ;; We have found the supplementary keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4177 ;; Save the position of the keyword in foundp.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4178 (setq foundp (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4179 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4180 foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4181 ;; If the supplementary keyword was found, then
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4182 ;; move point to the supplementary keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4183 (goto-char foundp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4184 ;; If there was no supplementary keyword, then
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4185 ;; point is already at the statement keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4186 (setq foundp t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4187 ) ; end of the search for the statement keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4188 (if (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4189 (error "Unbalanced keywords in vhdl-backward-sexp"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4190 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4191 (setq count (1- count))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4192 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4193 (setq target (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4194 (goto-char target)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4195 nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4196
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4197 (defun vhdl-backward-up-list (&optional count limit)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4198 "Move backward out of one level of blocks.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4199 With argument, do this that many times."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4200 (interactive "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4201 (let ((count (or count 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4202 target)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4203 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4204 (while (> count 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4205 (if (looking-at vhdl-defun-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4206 (error "Unbalanced blocks"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4207 (vhdl-backward-to-block limit)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4208 (setq count (1- count)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4209 (setq target (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4210 (goto-char target)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4211
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4212 (defun vhdl-end-of-defun (&optional count)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4213 "Move forward to the end of a VHDL defun."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4214 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4215 (let ((case-fold-search t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4216 (vhdl-beginning-of-defun)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4217 (if (not (looking-at "block\\|process\\|procedural"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4218 (re-search-forward "\\bis\\b"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4219 (vhdl-forward-sexp)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4220
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4221 (defun vhdl-mark-defun ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4222 "Put mark at end of this \"defun\", point at beginning."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4223 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4224 (let ((case-fold-search t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4225 (push-mark)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4226 (vhdl-beginning-of-defun)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4227 (push-mark)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4228 (if (not (looking-at "block\\|process\\|procedural"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4229 (re-search-forward "\\bis\\b"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4230 (vhdl-forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4231 (exchange-point-and-mark)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4232
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4233 (defun vhdl-beginning-of-libunit ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4234 "Move backward to the beginning of a VHDL library unit.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4235 Returns the location of the corresponding begin keyword, unless search
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4236 stops due to beginning or end of buffer.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4237 Note that if point is between the \"libunit\" keyword and the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4238 corresponding \"begin\" keyword, then that libunit will not be
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4239 recognised, and the search will continue backwards. If point is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4240 at the \"begin\" keyword, then the defun will be recognised. The
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4241 returned point is at the first character of the \"libunit\" keyword."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4242 (let ((last-forward (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4243 (last-backward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4244 ;; Just in case we are actually sitting on the "begin"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4245 ;; keyword, allow for the keyword and an extra character,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4246 ;; as this will be used when looking forward for the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4247 ;; "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4248 (save-excursion (forward-word 1) (1+ (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4249 foundp literal placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4250 ;; Find the "libunit" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4251 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4252 (re-search-backward vhdl-libunit-re nil 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4253 ;; If we are in a literal, or not at a real libunit, then try again.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4254 (if (or (= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4255 (vhdl-in-literal (point-min))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4256 (not (vhdl-libunit-p)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4257 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4258 ;; Find the corresponding "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4259 (setq last-forward (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4260 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4261 (re-search-forward "\\bis\\b[^_]" last-backward t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4262 (setq placeholder (match-beginning 0)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4263 (if (or (= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4264 (setq literal (vhdl-in-literal last-forward)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4265 ;; It wasn't a real keyword, so keep searching.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4266 (if (eq literal 'comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4267 (goto-char
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4268 (min (vhdl-point 'eol) last-backward))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4269 (forward-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4270 ;; We have found the begin keyword, loop will exit.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4271 (setq foundp placeholder)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4272 ;; Go back to the libunit keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4273 (goto-char last-forward)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4274 foundp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4275
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4276 (defun vhdl-beginning-of-defun (&optional count)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4277 "Move backward to the beginning of a VHDL defun.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4278 With argument, do it that many times.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4279 Returns the location of the corresponding begin keyword, unless search
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4280 stops due to beginning or end of buffer."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4281 ;; Note that if point is between the "defun" keyword and the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4282 ;; corresponding "begin" keyword, then that defun will not be
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4283 ;; recognised, and the search will continue backwards. If point is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4284 ;; at the "begin" keyword, then the defun will be recognised. The
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4285 ;; returned point is at the first character of the "defun" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4286 (interactive "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4287 (let ((count (or count 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4288 (case-fold-search t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4289 (last-forward (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4290 foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4291 (while (> count 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4292 (setq foundp nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4293 (goto-char last-forward)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4294 (let ((last-backward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4295 ;; Just in case we are actually sitting on the "begin"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4296 ;; keyword, allow for the keyword and an extra character,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4297 ;; as this will be used when looking forward for the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4298 ;; "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4299 (save-excursion (forward-word 1) (1+ (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4300 begin-string literal)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4301 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4302 (re-search-backward vhdl-defun-re nil 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4303 ;; If we are in a literal, then try again.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4304 (if (or (= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4305 (vhdl-in-literal (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4306 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4307 (if (setq begin-string (vhdl-corresponding-defun))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4308 ;; This is a real defun keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4309 ;; Find the corresponding "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4310 ;; Look for the begin keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4311 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4312 ;; Save the search start point.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4313 (setq last-forward (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4314 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4315 (search-forward begin-string last-backward t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4316 (if (or (= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4317 (save-match-data
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4318 (setq literal (vhdl-in-literal last-forward))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4319 ;; It wasn't a real keyword, so keep searching.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4320 (if (eq literal 'comment)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4321 (goto-char
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4322 (min (vhdl-point 'eol) last-backward))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4323 (forward-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4324 ;; We have found the begin keyword, loop will exit.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4325 (setq foundp (match-beginning 0)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4326 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4327 ;; Go back to the defun keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4328 (goto-char last-forward)) ; end search for begin keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4329 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4330 ) ; end of the search for the defun keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4331 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4332 (setq count (1- count))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4333 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4334 (vhdl-keep-region-active)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4335 foundp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4336
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4337 (defun vhdl-beginning-of-statement (&optional count lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4338 "Go to the beginning of the innermost VHDL statement.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4339 With prefix arg, go back N - 1 statements. If already at the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4340 beginning of a statement then go to the beginning of the preceding
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4341 one. If within a string or comment, or next to a comment (only
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4342 whitespace between), move by sentences instead of statements.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4343
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4344 When called from a program, this function takes 2 optional args: the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4345 prefix arg, and a buffer position limit which is the farthest back to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4346 search."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4347 (interactive "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4348 (let ((count (or count 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4349 (case-fold-search t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4350 (lim (or lim (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4351 (here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4352 state)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4353 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4354 (goto-char lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4355 (setq state (parse-partial-sexp (point) here nil nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4356 (if (and (interactive-p)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4357 (or (nth 3 state)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4358 (nth 4 state)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4359 (looking-at (concat "[ \t]*" comment-start-skip))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4360 (forward-sentence (- count))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4361 (while (> count 0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4362 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4363 (setq count (1- count))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4364 ;; its possible we've been left up-buf of lim
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4365 (goto-char (max (point) lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4366 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4367 (vhdl-keep-region-active))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4368
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4369 (defconst vhdl-e-o-s-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4370 (concat ";\\|" vhdl-begin-fwd-re "\\|" vhdl-statement-fwd-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4371
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4372 (defun vhdl-end-of-statement ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4373 "Very simple implementation."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4374 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4375 (re-search-forward vhdl-e-o-s-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4376
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4377 (defconst vhdl-b-o-s-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4378 (concat ";\\|\(\\|\)\\|\\bwhen\\b[^_]\\|"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4379 vhdl-begin-bwd-re "\\|" vhdl-statement-bwd-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4380
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4381 (defun vhdl-beginning-of-statement-1 (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4382 "Move to the start of the current statement, or the previous
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4383 statement if already at the beginning of one."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4384 (let ((lim (or lim (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4385 (here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4386 (pos (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4387 donep)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4388 ;; go backwards one balanced expression, but be careful of
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4389 ;; unbalanced paren being reached
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4390 (if (not (vhdl-safe (progn (backward-sexp) t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4391 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4392 (backward-up-list 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4393 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4394 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4395 (setq donep t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4396 (while (and (not donep)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4397 (not (bobp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4398 ;; look backwards for a statement boundary
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4399 (re-search-backward vhdl-b-o-s-re lim 'move))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4400 (if (or (= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4401 (vhdl-in-literal lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4402 (backward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4403 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4404 ;; If we are looking at an open paren, then stop after it
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4405 ((eq (following-char) ?\()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4406 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4407 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4408 (setq donep t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4409 ;; If we are looking at a close paren, then skip it
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4410 ((eq (following-char) ?\))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4411 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4412 (setq pos (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4413 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4414 (if (< (point) lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4415 (progn (goto-char pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4416 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4417 (setq donep t))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4418 ;; If we are looking at a semicolon, then stop
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4419 ((eq (following-char) ?\;)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4420 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4421 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4422 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4423 (setq donep t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4424 ;; If we are looking at a "begin", then stop
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4425 ((and (looking-at vhdl-begin-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4426 (/= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4427 (vhdl-begin-p nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4428 ;; If it's a leader "begin", then find the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4429 ;; right place
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4430 (if (looking-at vhdl-leader-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4431 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4432 ;; set a default stop point at the begin
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4433 (setq pos (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4434 ;; is the start point inside the leader area ?
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4435 (goto-char (vhdl-end-of-leader))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4436 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4437 (if (< (point) here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4438 ;; start point was not inside leader area
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4439 ;; set stop point at word after leader
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4440 (setq pos (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4441 (forward-word 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4442 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4443 (setq pos (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4444 (goto-char pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4445 (setq donep t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4446 ;; If we are looking at a "statement", then stop
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4447 ((and (looking-at vhdl-statement-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4448 (/= (preceding-char) ?_)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4449 (vhdl-statement-p nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4450 (setq donep t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4451 ;; If we are looking at a case alternative key, then stop
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4452 ((and (looking-at vhdl-case-alternative-re)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4453 (vhdl-case-alternative-p lim))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4454 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4455 ;; set a default stop point at the when
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4456 (setq pos (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4457 ;; is the start point inside the case alternative key ?
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4458 (looking-at vhdl-case-alternative-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4459 (goto-char (match-end 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4460 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4461 (if (< (point) here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4462 ;; start point was not inside the case alternative key
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4463 ;; set stop point at word after case alternative keyleader
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4464 (setq pos (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4465 (goto-char pos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4466 (setq donep t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4467 ;; Bogus find, continue
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4468 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4469 (backward-char)))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4470 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4471
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4472 ;; Defuns for calculating the current syntactic state:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4473
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4474 (defun vhdl-get-library-unit (bod placeholder)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4475 "If there is an enclosing library unit at bod, with it's \"begin\"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4476 keyword at placeholder, then return the library unit type."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4477 (let ((here (vhdl-point 'bol)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4478 (if (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4479 (goto-char placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4480 (vhdl-safe (vhdl-forward-sexp 1 bod))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4481 (<= here (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4482 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4483 (goto-char bod)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4484 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4485 ((looking-at "e") 'entity)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4486 ((looking-at "a") 'architecture)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4487 ((looking-at "c") 'configuration)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4488 ((looking-at "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4489 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4490 (goto-char bod)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4491 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4492 (vhdl-forward-syntactic-ws here)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4493 (if (looking-at "body\\b[^_]")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4494 'package-body 'package))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4495 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4496
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4497 (defun vhdl-get-block-state (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4498 "Finds and records all the closest opens.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4499 lim is the furthest back we need to search (it should be the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4500 previous libunit keyword)."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4501 (let ((here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4502 (lim (or lim (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4503 keyword sexp-start sexp-mid sexp-end
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4504 preceding-sexp containing-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4505 containing-begin containing-mid containing-paren)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4506 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4507 ;; Find the containing-paren, and use that as the limit
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4508 (if (setq containing-paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4509 (save-restriction
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4510 (narrow-to-region lim (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4511 (vhdl-safe (scan-lists (point) -1 1))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4512 (setq lim containing-paren))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4513 ;; Look backwards for "begin" and "end" keywords.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4514 (while (and (> (point) lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4515 (not containing-sexp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4516 (setq keyword (vhdl-backward-to-block lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4517 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4518 ((eq keyword 'begin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4519 ;; Found a "begin" keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4520 (setq sexp-start (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4521 (setq sexp-mid (vhdl-corresponding-mid lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4522 (setq sexp-end (vhdl-safe
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4523 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4524 (vhdl-forward-sexp 1 lim) (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4525 (if (and sexp-end (<= sexp-end here))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4526 ;; we want to record this sexp, but we only want to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4527 ;; record the last-most of any of them before here
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4528 (or preceding-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4529 (setq preceding-sexp sexp-start))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4530 ;; we're contained in this sexp so put sexp-start on
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4531 ;; front of list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4532 (setq containing-sexp sexp-start)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4533 (setq containing-mid sexp-mid)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4534 (setq containing-begin t)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4535 ((eq keyword 'end)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4536 ;; Found an "end" keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4537 (forward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4538 (setq sexp-end (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4539 (setq sexp-mid nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4540 (setq sexp-start
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4541 (or (vhdl-safe (vhdl-backward-sexp 1 lim) (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4542 (progn (backward-sexp) (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4543 ;; we want to record this sexp, but we only want to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4544 ;; record the last-most of any of them before here
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4545 (or preceding-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4546 (setq preceding-sexp sexp-start)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4547 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4548 ;; Check if the containing-paren should be the containing-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4549 (if (and containing-paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4550 (or (null containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4551 (< containing-sexp containing-paren)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4552 (setq containing-sexp containing-paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4553 preceding-sexp nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4554 containing-begin nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4555 containing-mid nil))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4556 (vector containing-sexp preceding-sexp containing-begin containing-mid)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4557 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4558
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4559
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4560 (defconst vhdl-s-c-a-re
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4561 (concat vhdl-case-alternative-re "\\|" vhdl-case-header-key))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4562
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4563 (defun vhdl-skip-case-alternative (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4564 "Skip forward over case/when bodies, with optional maximal
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4565 limit. If no next case alternative is found, nil is returned and point
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4566 is not moved."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4567 (let ((lim (or lim (point-max)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4568 (here (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4569 donep foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4570 (while (and (< (point) lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4571 (not donep))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4572 (if (and (re-search-forward vhdl-s-c-a-re lim 'move)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4573 (save-match-data
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4574 (not (vhdl-in-literal)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4575 (/= (match-beginning 0) here))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4576 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4577 (goto-char (match-beginning 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4578 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4579 ((and (looking-at "case")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4580 (re-search-forward "\\bis[^_]" lim t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4581 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4582 (vhdl-forward-sexp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4583 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4584 (setq donep t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4585 foundp t))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4586 (if (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4587 (goto-char here))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4588 foundp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4589
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4590 (defun vhdl-backward-skip-label (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4591 "Skip backward over a label, with optional maximal
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4592 limit. If label is not found, nil is returned and point
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4593 is not moved."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4594 (let ((lim (or lim (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4595 placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4596 (if (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4597 (vhdl-backward-syntactic-ws lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4598 (and (eq (preceding-char) ?:)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4599 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4600 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4601 (setq placeholder (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4602 (looking-at vhdl-label-key))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4603 (goto-char placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4604 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4605
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4606 (defun vhdl-forward-skip-label (&optional lim)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4607 "Skip forward over a label, with optional maximal
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4608 limit. If label is not found, nil is returned and point
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4609 is not moved."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4610 (let ((lim (or lim (point-max))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4611 (if (looking-at vhdl-label-key)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4612 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4613 (goto-char (match-end 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4614 (vhdl-forward-syntactic-ws lim)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4615 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4616
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4617 (defun vhdl-get-syntactic-context ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4618 "Guess the syntactic description of the current line of VHDL code."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4619 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4620 (save-restriction
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4621 (beginning-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4622 (let* ((indent-point (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4623 (case-fold-search t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4624 vec literal containing-sexp preceding-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4625 containing-begin containing-mid containing-leader
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4626 char-before-ip char-after-ip begin-after-ip end-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4627 placeholder lim library-unit
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4628 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4629
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4630 ;; Reset the syntactic context
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4631 (setq vhdl-syntactic-context nil)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4632
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4633 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4634 ;; Move to the start of the previous library unit, and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4635 ;; record the position of the "begin" keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4636 (setq placeholder (vhdl-beginning-of-libunit))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4637 ;; The position of the "libunit" keyword gives us a gross
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4638 ;; limit point.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4639 (setq lim (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4640 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4641
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4642 ;; If there is a previous library unit, and we are enclosed by
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4643 ;; it, then set the syntax accordingly.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4644 (and placeholder
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4645 (setq library-unit (vhdl-get-library-unit lim placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4646 (vhdl-add-syntax library-unit lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4647
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4648 ;; Find the surrounding state.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4649 (if (setq vec (vhdl-get-block-state lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4650 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4651 (setq containing-sexp (aref vec 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4652 (setq preceding-sexp (aref vec 1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4653 (setq containing-begin (aref vec 2))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4654 (setq containing-mid (aref vec 3))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4655 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4656
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4657 ;; set the limit on the farthest back we need to search
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4658 (setq lim (if containing-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4659 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4660 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4661 ;; set containing-leader if required
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4662 (if (looking-at vhdl-leader-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4663 (setq containing-leader (vhdl-end-of-leader)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4664 (vhdl-point 'bol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4665 (point-min)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4666
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4667 ;; cache char before and after indent point, and move point to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4668 ;; the most likely position to perform the majority of tests
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4669 (goto-char indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4670 (skip-chars-forward " \t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4671 (setq literal (vhdl-in-literal lim))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4672 (setq char-after-ip (following-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4673 (setq begin-after-ip (and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4674 (not literal)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4675 (looking-at vhdl-begin-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4676 (vhdl-begin-p)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4677 (setq end-after-ip (and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4678 (not literal)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4679 (looking-at vhdl-end-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4680 (vhdl-end-p)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4681 (vhdl-backward-syntactic-ws lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4682 (setq char-before-ip (preceding-char))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4683 (goto-char indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4684 (skip-chars-forward " \t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4685
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4686 ;; now figure out syntactic qualities of the current line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4687 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4688 ;; CASE 1: in a string or comment.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4689 ((memq literal '(string comment))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4690 (vhdl-add-syntax literal (vhdl-point 'bopl)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4691 ;; CASE 2: Line is at top level.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4692 ((null containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4693 ;; Find the point to which indentation will be relative
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4694 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4695 (if (null preceding-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4696 ;; CASE 2X.1
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4697 ;; no preceding-sexp -> use the preceding statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4698 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4699 ;; CASE 2X.2
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4700 ;; if there is a preceding-sexp then indent relative to it
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4701 (goto-char preceding-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4702 ;; if not at boi, then the block-opening keyword is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4703 ;; probably following a label, so we need a different
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4704 ;; relpos
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4705 (if (/= (point) (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4706 ;; CASE 2X.3
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4707 (vhdl-beginning-of-statement-1 lim)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4708 ;; v-b-o-s could have left us at point-min
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4709 (and (bobp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4710 ;; CASE 2X.4
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4711 (vhdl-forward-syntactic-ws indent-point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4712 (setq placeholder (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4713 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4714 ;; CASE 2A : we are looking at a block-open
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4715 (begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4716 (vhdl-add-syntax 'block-open placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4717 ;; CASE 2B: we are looking at a block-close
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4718 (end-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4719 (vhdl-add-syntax 'block-close placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4720 ;; CASE 2C: we are looking at a top-level statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4721 ((progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4722 (vhdl-backward-syntactic-ws lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4723 (or (bobp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4724 (= (preceding-char) ?\;)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4725 (vhdl-add-syntax 'statement placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4726 ;; CASE 2D: we are looking at a top-level statement-cont
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4727 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4728 (vhdl-beginning-of-statement-1 lim)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4729 ;; v-b-o-s could have left us at point-min
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4730 (and (bobp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4731 ;; CASE 2D.1
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4732 (vhdl-forward-syntactic-ws indent-point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4733 (vhdl-add-syntax 'statement-cont (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4734 )) ; end CASE 2
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4735 ;; CASE 3: line is inside parentheses. Most likely we are
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4736 ;; either in a subprogram argument (interface) list, or a
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4737 ;; continued expression containing parentheses.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4738 ((null containing-begin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4739 (vhdl-backward-syntactic-ws containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4740 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4741 ;; CASE 3A: we are looking at the arglist closing paren
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4742 ((eq char-after-ip ?\))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4743 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4744 (vhdl-add-syntax 'arglist-close (vhdl-point 'boi)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4745 ;; CASE 3B: we are looking at the first argument in an empty
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4746 ;; argument list.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4747 ((eq char-before-ip ?\()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4748 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4749 (vhdl-add-syntax 'arglist-intro (vhdl-point 'boi)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4750 ;; CASE 3C: we are looking at an arglist continuation line,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4751 ;; but the preceding argument is on the same line as the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4752 ;; opening paren. This case includes multi-line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4753 ;; expression paren groupings.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4754 ((and (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4755 (goto-char (1+ containing-sexp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4756 (skip-chars-forward " \t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4757 (not (eolp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4758 (not (looking-at "--")))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4759 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4760 (vhdl-beginning-of-statement-1 containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4761 (skip-chars-backward " \t(")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4762 (<= (point) containing-sexp)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4763 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4764 (vhdl-add-syntax 'arglist-cont-nonempty (vhdl-point 'boi)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4765 ;; CASE 3D: we are looking at just a normal arglist
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4766 ;; continuation line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4767 (t (vhdl-beginning-of-statement-1 containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4768 (vhdl-forward-syntactic-ws indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4769 (vhdl-add-syntax 'arglist-cont (vhdl-point 'boi)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4770 ))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4771 ;; CASE 4: A block mid open
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4772 ((and begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4773 (looking-at containing-mid))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4774 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4775 ;; If the \"begin\" keyword is a trailer, then find v-b-o-s
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4776 (if (looking-at vhdl-trailer-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4777 ;; CASE 4.1
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4778 (progn (forward-sexp) (vhdl-beginning-of-statement-1 nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4779 (vhdl-backward-skip-label (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4780 (vhdl-add-syntax 'block-open (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4781 ;; CASE 5: block close brace
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4782 (end-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4783 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4784 ;; If the \"begin\" keyword is a trailer, then find v-b-o-s
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4785 (if (looking-at vhdl-trailer-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4786 ;; CASE 5.1
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4787 (progn (forward-sexp) (vhdl-beginning-of-statement-1 nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4788 (vhdl-backward-skip-label (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4789 (vhdl-add-syntax 'block-close (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4790 ;; CASE 6: A continued statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4791 ((and (/= char-before-ip ?\;)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4792 ;; check it's not a trailer begin keyword, or a begin
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4793 ;; keyword immediately following a label.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4794 (not (and begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4795 (or (looking-at vhdl-trailer-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4796 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4797 (vhdl-backward-skip-label containing-sexp)))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4798 ;; check it's not a statement keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4799 (not (and (looking-at vhdl-statement-fwd-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4800 (vhdl-statement-p)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4801 ;; see if the b-o-s is before the indent point
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4802 (> indent-point
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4803 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4804 (vhdl-beginning-of-statement-1 containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4805 ;; If we ended up after a leader, then this will
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4806 ;; move us forward to the start of the first
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4807 ;; statement. Note that a containing sexp here is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4808 ;; always a keyword, not a paren, so this will
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4809 ;; have no effect if we hit the containing-sexp.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4810 (vhdl-forward-syntactic-ws indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4811 (setq placeholder (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4812 ;; check it's not a block-intro
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4813 (/= placeholder containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4814 ;; check it's not a case block-intro
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4815 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4816 (goto-char placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4817 (or (not (looking-at vhdl-case-alternative-re))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4818 (> (match-end 0) indent-point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4819 ;; Make placeholder skip a label, but only if it puts us
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4820 ;; before the indent point at the start of a line.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4821 (let ((new placeholder))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4822 (if (and (> indent-point
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4823 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4824 (goto-char placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4825 (vhdl-forward-skip-label indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4826 (setq new (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4827 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4828 (goto-char new)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4829 (eq new (progn (back-to-indentation) (point)))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4830 (setq placeholder new)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4831 (vhdl-add-syntax 'statement-cont placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4832 (if begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4833 (vhdl-add-syntax 'block-open)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4834 ;; Statement. But what kind?
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4835 ;; CASE 7: A case alternative key
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4836 ((and (looking-at vhdl-case-alternative-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4837 (vhdl-case-alternative-p containing-sexp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4838 ;; for a case alternative key, we set relpos to the first
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4839 ;; non-whitespace char on the line containing the "case"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4840 ;; keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4841 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4842 ;; If the \"begin\" keyword is a trailer, then find v-b-o-s
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4843 (if (looking-at vhdl-trailer-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4844 (progn (forward-sexp) (vhdl-beginning-of-statement-1 nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4845 (vhdl-add-syntax 'case-alternative (vhdl-point 'boi)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4846 ;; CASE 8: statement catchall
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4847 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4848 ;; we know its a statement, but we need to find out if it is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4849 ;; the first statement in a block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4850 (if containing-leader
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4851 (goto-char containing-leader)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4852 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4853 ;; Note that a containing sexp here is always a keyword,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4854 ;; not a paren, so skip over the keyword.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4855 (forward-sexp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4856 ;; move to the start of the first statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4857 (vhdl-forward-syntactic-ws indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4858 (setq placeholder (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4859 ;; we want to ignore case alternatives keys when skipping forward
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4860 (let (incase-p)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4861 (while (looking-at vhdl-case-alternative-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4862 (setq incase-p (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4863 ;; we also want to skip over the body of the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4864 ;; case/when statement if that doesn't put us at
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4865 ;; after the indent-point
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4866 (while (vhdl-skip-case-alternative indent-point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4867 ;; set up the match end
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4868 (looking-at vhdl-case-alternative-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4869 (goto-char (match-end 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4870 ;; move to the start of the first case alternative statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4871 (vhdl-forward-syntactic-ws indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4872 (setq placeholder (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4873 (cond
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4874 ;; CASE 8A: we saw a case/when statement so we must be
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4875 ;; in a switch statement. find out if we are at the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4876 ;; statement just after a case alternative key
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4877 ((and incase-p
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4878 (= (point) indent-point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4879 ;; relpos is the "when" keyword
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4880 (vhdl-add-syntax 'statement-case-intro incase-p))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4881 ;; CASE 8B: any old statement
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4882 ((< (point) indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4883 ;; relpos is the first statement of the block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4884 (vhdl-add-syntax 'statement placeholder)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4885 (if begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4886 (vhdl-add-syntax 'block-open)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4887 ;; CASE 8C: first statement in a block
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4888 (t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4889 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4890 ;; If the \"begin\" keyword is a trailer, then find v-b-o-s
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4891 (if (looking-at vhdl-trailer-re)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4892 (progn (forward-sexp) (vhdl-beginning-of-statement-1 nil)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4893 (vhdl-backward-skip-label (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4894 (vhdl-add-syntax 'statement-block-intro (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4895 (if begin-after-ip
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4896 (vhdl-add-syntax 'block-open)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4897 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4898 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4899
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4900 ;; now we need to look at any modifiers
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4901 (goto-char indent-point)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4902 (skip-chars-forward " \t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4903 (if (looking-at "--")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4904 (vhdl-add-syntax 'comment))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4905 ;; return the syntax
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4906 vhdl-syntactic-context))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4907
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4908 ;; Standard indentation line-ups:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4909
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4910 (defun vhdl-lineup-arglist (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4911 "Lineup the current arglist line with the arglist appearing just
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4912 after the containing paren which starts the arglist."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4913 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4914 (let* ((containing-sexp
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4915 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4916 ;; arglist-cont-nonempty gives relpos ==
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4917 ;; to boi of containing-sexp paren. This
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4918 ;; is good when offset is +, but bad
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4919 ;; when it is vhdl-lineup-arglist, so we
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4920 ;; have to special case a kludge here.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4921 (if (memq (car langelem) '(arglist-intro arglist-cont-nonempty))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4922 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4923 (beginning-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4924 (backward-up-list 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4925 (skip-chars-forward " \t" (vhdl-point 'eol)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4926 (goto-char (cdr langelem)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4927 (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4928 (cs-curcol (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4929 (goto-char (cdr langelem))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4930 (current-column))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4931 (if (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4932 (beginning-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4933 (looking-at "[ \t]*)"))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4934 (progn (goto-char (match-end 0))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4935 (backward-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4936 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4937 (vhdl-forward-syntactic-ws)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4938 (- (current-column) cs-curcol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4939 (goto-char containing-sexp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4940 (or (eolp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4941 (let ((eol (vhdl-point 'eol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4942 (here (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4943 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4944 (skip-chars-forward " \t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4945 (point))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4946 (vhdl-forward-syntactic-ws)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4947 (if (< (point) eol)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4948 (goto-char here))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4949 (- (current-column) cs-curcol)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4950 ))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4951
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4952 (defun vhdl-lineup-arglist-intro (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4953 "Lineup an arglist-intro line to just after the open paren."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4954 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4955 (let ((cs-curcol (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4956 (goto-char (cdr langelem))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4957 (current-column)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4958 (ce-curcol (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4959 (beginning-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4960 (backward-up-list 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4961 (skip-chars-forward " \t" (vhdl-point 'eol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4962 (current-column))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4963 (- ce-curcol cs-curcol -1))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4964
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4965 (defun vhdl-lineup-comment (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4966 "Support old behavior for comment indentation. We look at
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4967 vhdl-comment-only-line-offset to decide how to indent comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4968 only-lines."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4969 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4970 (back-to-indentation)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4971 ;; at or to the right of comment-column
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4972 (if (>= (current-column) comment-column)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4973 (vhdl-comment-indent)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4974 ;; otherwise, indent as specified by vhdl-comment-only-line-offset
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4975 (if (not (bolp))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4976 (or (car-safe vhdl-comment-only-line-offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4977 vhdl-comment-only-line-offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4978 (or (cdr-safe vhdl-comment-only-line-offset)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4979 (car-safe vhdl-comment-only-line-offset)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4980 -1000 ;jam it against the left side
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4981 )))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4982
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4983 (defun vhdl-lineup-statement-cont (langelem)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
4984 "Line up statement-cont after the assignment operator."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4985 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4986 (let* ((relpos (cdr langelem))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4987 (assignp (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4988 (goto-char (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4989 (and (re-search-forward "\\(<\\|:\\)="
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4990 (vhdl-point 'eol) t)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4991 (- (point) (vhdl-point 'boi)))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4992 (curcol (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4993 (goto-char relpos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4994 (current-column)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4995 foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4996 (while (and (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4997 (< (point) (vhdl-point 'eol)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4998 (re-search-forward "\\(<\\|:\\)=\\|(" (vhdl-point 'eol) 'move)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
4999 (if (vhdl-in-literal (cdr langelem))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5000 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5001 (if (= (preceding-char) ?\()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5002 ;; skip over any parenthesized expressions
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5003 (goto-char (min (vhdl-point 'eol)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5004 (scan-lists (point) 1 1)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5005 ;; found an assignment operator (not at eol)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5006 (setq foundp (not (looking-at "\\s-*$"))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5007 (if (not foundp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5008 ;; there's no assignment operator on the line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5009 vhdl-basic-offset
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5010 ;; calculate indentation column after assign and ws, unless
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5011 ;; our line contains an assignment operator
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5012 (if (not assignp)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5013 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5014 (forward-char)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5015 (skip-chars-forward " \t")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5016 (setq assignp 0)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5017 (- (current-column) assignp curcol))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5018 )))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5019
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5020 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5021 ;; Indentation commands
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5022
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5023 (defsubst vhdl-in-comment-p ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5024 "Check if point is to right of beginning comment delimiter."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5025 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5026 (save-excursion ; finds an unquoted comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5027 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5028 (re-search-forward "^\\([^\"]*\"[^\"]*\"\\)*[^\"]*--" position t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5029
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5030 (defsubst vhdl-in-string-p ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5031 "Check if point is in a string."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5032 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5033 (save-excursion ; preceeded by odd number of string delimiters?
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5034 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5035 (eq position (re-search-forward "^\\([^\"]*\"[^\"]*\"\\)*[^\"]*\"[^\"]*"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5036 position t)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5037
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5038 (defsubst vhdl-in-comment-or-string-p ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5039 "Check if point is in a comment or a string."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5040 (and (vhdl-in-comment-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5041 (vhdl-in-string-p)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5042
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5043 (defun vhdl-electric-tab (&optional prefix-arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5044 "If preceeding character is part of a word or a paren then hippie-expand,
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5045 else if right of non whitespace on line then tab-to-tab-stop,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5046 else if last command was a tab or return then dedent one step,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5047 else indent `correctly'."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5048 (interactive "*P")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5049 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5050 (cond ((= (char-syntax (preceding-char)) ?w)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5051 (let ((case-fold-search (not vhdl-word-completion-case-sensitive))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5052 (case-replace nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5053 (vhdl-expand-abbrev prefix-arg)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5054 ((or (= (preceding-char) ?\() (= (preceding-char) ?\)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5055 (let ((case-fold-search (not vhdl-word-completion-case-sensitive))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5056 (case-replace nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5057 (vhdl-expand-paren prefix-arg)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5058 ((> (current-column) (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5059 (tab-to-tab-stop))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5060 ((and (or (eq last-command 'vhdl-electric-tab)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5061 (eq last-command 'vhdl-electric-return))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5062 (/= 0 (current-indentation)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5063 (backward-delete-char-untabify vhdl-basic-offset nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5064 (t (vhdl-indent-line)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5065 (setq this-command 'vhdl-electric-tab)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5066
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5067 (defun vhdl-electric-return ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5068 "newline-and-indent or indent-new-comment-line if in comment and preceding
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5069 character is a space."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5070 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5071 (if (and (= (preceding-char) ? ) (vhdl-in-comment-p))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5072 (indent-new-comment-line)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5073 (newline-and-indent)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5074
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5075 (defvar vhdl-progress-info nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5076 "Array variable for progress information: 0 begin, 1 end, 2 time.")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5077
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5078 (defun vhdl-indent-line ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5079 "Indent the current line as VHDL code. Returns the amount of
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5080 indentation change."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5081 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5082 (let* ((syntax (vhdl-get-syntactic-context))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5083 (pos (- (point-max) (point)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5084 ;; special case: comments at or right of comment-column
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5085 (indent (if (and (eq (car (car syntax)) 'comment)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5086 (>= (vhdl-get-offset (car syntax)) comment-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5087 (vhdl-get-offset (car syntax))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5088 (apply '+ (mapcar 'vhdl-get-offset syntax))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5089 ; (indent (apply '+ (mapcar 'vhdl-get-offset syntax)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5090 (shift-amt (- indent (current-indentation))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5091 (and vhdl-echo-syntactic-information-p
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5092 (message "syntax: %s, indent= %d" syntax indent))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5093 (unless (zerop shift-amt)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5094 (delete-region (vhdl-point 'bol) (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5095 (beginning-of-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5096 (indent-to indent))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5097 (if (< (point) (vhdl-point 'boi))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5098 (back-to-indentation)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5099 ;; If initial point was within line's indentation, position after
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5100 ;; the indentation. Else stay at same point in text.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5101 (when (> (- (point-max) pos) (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5102 (goto-char (- (point-max) pos))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5103 (run-hooks 'vhdl-special-indent-hook)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5104 ;; update progress status
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5105 (when vhdl-progress-info
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5106 (aset vhdl-progress-info 1 (+ (aref vhdl-progress-info 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5107 (if (> -500 shift-amt) 0 shift-amt)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5108 (when (< vhdl-progress-interval
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5109 (- (nth 1 (current-time)) (aref vhdl-progress-info 2)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5110 (message "Indenting... (%2d%s)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5111 (/ (* 100 (- (point) (aref vhdl-progress-info 0)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5112 (- (aref vhdl-progress-info 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5113 (aref vhdl-progress-info 0))) "%")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5114 (aset vhdl-progress-info 2 (nth 1 (current-time)))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5115 shift-amt))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5116
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5117 (defun vhdl-indent-buffer ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5118 "Indent whole buffer as VHDL code.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5119 Calls `indent-region' for whole buffer and adds progress reporting."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5120 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5121 (when vhdl-progress-interval
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5122 (setq vhdl-progress-info (vector (point-min) (point-max) 0)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5123 (indent-region (point-min) (point-max) nil)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5124 (when vhdl-progress-interval (message "Indenting...done"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5125 (setq vhdl-progress-info nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5126
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5127 (defun vhdl-indent-region (start end column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5128 "Indent region as VHDL code.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5129 Adds progress reporting to `indent-region'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5130 (interactive "r\nP")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5131 (when vhdl-progress-interval (setq vhdl-progress-info (vector start end 0)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5132 (indent-region start end column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5133 (when vhdl-progress-interval (message "Indenting...done"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5134 (setq vhdl-progress-info nil))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5135
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5136 (defun vhdl-indent-sexp (&optional endpos)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5137 "Indent each line of the list starting just after point.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5138 If optional arg ENDPOS is given, indent each line, stopping when
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5139 ENDPOS is encountered."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5140 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5141 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5142 (let ((beg (point))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5143 (end (progn (vhdl-forward-sexp nil endpos) (point))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5144 (indent-region beg end nil))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5145
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5146 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5147 ;; Miscellaneous commands
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5148
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5149 (defun vhdl-show-syntactic-information ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5150 "Show syntactic information for current line."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5151 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5152 (message "syntactic analysis: %s" (vhdl-get-syntactic-context))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5153 (vhdl-keep-region-active))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5154
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5155 ;; Verification and regression functions:
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5156
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5157 (defun vhdl-regress-line (&optional arg)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5158 "Check syntactic information for current line."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5159 (interactive "P")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5160 (let ((expected (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5161 (end-of-line)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5162 (when (search-backward " -- ((" (vhdl-point 'bol) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5163 (forward-char 4)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5164 (read (current-buffer)))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5165 (actual (vhdl-get-syntactic-context))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5166 (expurgated))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5167 ;; remove the library unit symbols
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5168 (mapcar
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5169 (function
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5170 (lambda (elt)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5171 (if (memq (car elt) '(entity configuration package
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5172 package-body architecture))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5173 nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5174 (setq expurgated (append expurgated (list elt))))))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5175 actual)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5176 (if (and (not arg) expected (listp expected))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5177 (if (not (equal expected expurgated))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5178 (error "Should be: %s, is: %s" expected expurgated))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5179 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5180 (beginning-of-line)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5181 (when (not (looking-at "^\\s-*\\(--.*\\)?$"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5182 (end-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5183 (if (search-backward " -- ((" (vhdl-point 'bol) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5184 (kill-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5185 (insert " -- ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5186 (insert (format "%s" expurgated))))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5187 (vhdl-keep-region-active))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5188
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5189
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5190 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5191 ;;; Alignment, whitespace fixup, beautifying
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5192 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5193
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5194 (defvar vhdl-align-alist
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5195 '(
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5196 ;; after some keywords
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5197 (vhdl-mode "\\<\\(constant\\|quantity\\|signal\\|terminal\\|variable\\)[ \t]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5198 "\\<\\(constant\\|quantity\\|signal\\|terminal\\|variable\\)\\([ \t]+\\)" 2)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5199 ;; before ':'
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5200 (vhdl-mode ":[^=]" "\\([ \t]*\\):[^=]")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5201 ;; after direction specifications
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5202 (vhdl-mode ":[ \t]*\\(in\\|out\\|inout\\|buffer\\|\\)\\>"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5203 ":[ \t]*\\(in\\|out\\|inout\\|buffer\\|\\)\\([ \t]+\\)" 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5204 ;; before "==", ":=", "=>", and "<="
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5205 (vhdl-mode "==" "\\([ \t]*\\)==" 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5206 (vhdl-mode ":=" "\\([ \t]*\\):=" 1) ; since ":= ... =>" can occur
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5207 (vhdl-mode "<=" "\\([ \t]*\\)<=" 1) ; since "<= ... =>" can occur
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5208 (vhdl-mode "=>" "\\([ \t]*\\)=>" 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5209 (vhdl-mode ":=" "\\([ \t]*\\):=" 1) ; since "=> ... :=" can occur
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5210 (vhdl-mode "<=" "\\([ \t]*\\)<=" 1) ; since "=> ... <=" can occur
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5211 ;; before some keywords
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5212 (vhdl-mode "[ \t]after\\>" "[^ \t]\\([ \t]+\\)after\\>" 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5213 (vhdl-mode "[ \t]when\\>" "[^ \t]\\([ \t]+\\)when\\>" 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5214 (vhdl-mode "[ \t]else\\>" "[^ \t]\\([ \t]+\\)else\\>" 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5215 )
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5216 "The format of this alist is (MODES [or MODE] REGEXP ALIGN-PATTERN SUBEXP).
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5217 It is searched in order. If REGEXP is found anywhere in the first
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5218 line of a region to be aligned, ALIGN-PATTERN will be used for that
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5219 region. ALIGN-PATTERN must include the whitespace to be expanded or
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5220 contracted. It may also provide regexps for the text surrounding the
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5221 whitespace. SUBEXP specifies which sub-expression of
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5222 ALIGN-PATTERN matches the white space to be expanded/contracted.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5223
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5224 (defvar vhdl-align-try-all-clauses t
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5225 "If REGEXP is not found on the first line of the region that clause
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5226 is ignored. If this variable is non-nil, then the clause is tried anyway.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5227
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5228 (defun vhdl-align-region (begin end &optional spacing alignment-list indent)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5229 "Attempt to align a range of lines based on the content of the
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5230 lines. The definition of `alignment-list' determines the matching
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5231 order and the manner in which the lines are aligned. If ALIGNMENT-LIST
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5232 is not specified `vhdl-align-alist' is used. If INDENT is non-nil,
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5233 indentation is done before aligning."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5234 (interactive "r\np")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5235 (setq alignment-list (or alignment-list vhdl-align-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5236 (setq spacing (or spacing 1))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5237 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5238 (let (bol indent)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5239 (goto-char end)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5240 (setq end (point-marker))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5241 (goto-char begin)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5242 (setq bol (setq begin (progn (beginning-of-line) (point))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5243 ; (untabify bol end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5244 (when indent
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5245 (indent-region bol end nil))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5246 (let ((case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5247 (copy (copy-alist alignment-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5248 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5249 (while copy
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5250 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5251 (goto-char begin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5252 (let (element
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5253 (eol (save-excursion (progn (end-of-line) (point)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5254 (setq element (nth 0 copy))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5255 (when (and (or (and (listp (car element))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5256 (memq major-mode (car element)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5257 (eq major-mode (car element)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5258 (or vhdl-align-try-all-clauses
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5259 (re-search-forward (car (cdr element)) eol t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5260 (vhdl-align-region-1 begin end (car (cdr (cdr element)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5261 (car (cdr (cdr (cdr element)))) spacing))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5262 (setq copy (cdr copy))))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5263
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5264 (defun vhdl-align-region-1 (begin end match &optional substr spacing)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5265 "Align a range of lines from BEGIN to END. The regular expression
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5266 MATCH must match exactly one fields: the whitespace to be
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5267 contracted/expanded. The alignment column will equal the
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5268 rightmost column of the widest whitespace block. SPACING is
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5269 the amount of extra spaces to add to the calculated maximum required.
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5270 SPACING defaults to 1 so that at least one space is inserted after
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5271 the token in MATCH."
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5272 (setq spacing (or spacing 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5273 (setq substr (or substr 1))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5274 (save-excursion
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5275 (let (distance (max 0) (lines 0) bol eol width)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5276 ;; Determine the greatest whitespace distance to the alignment
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5277 ;; character
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5278 (goto-char begin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5279 (setq eol (progn (end-of-line) (point))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5280 bol (setq begin (progn (beginning-of-line) (point))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5281 (while (< bol end)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5282 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5283 (when (and (re-search-forward match eol t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5284 (not (vhdl-in-comment-p)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5285 (setq distance (- (match-beginning substr) bol))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5286 (when (> distance max)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5287 (setq max distance))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5288 (forward-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5289 (setq bol (point)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5290 eol (save-excursion (end-of-line) (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5291 (setq lines (1+ lines)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5292 ;; Now insert enough maxs to push each assignment operator to
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5293 ;; the same column. We need to use 'lines' as a counter, since
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5294 ;; the location of the mark may change
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5295 (goto-char (setq bol begin))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5296 (setq eol (save-excursion (end-of-line) (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5297 (while (> lines 0)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5298 (when (and (re-search-forward match eol t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5299 (not (vhdl-in-comment-p)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5300 (setq width (- (match-end substr) (match-beginning substr)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5301 (setq distance (- (match-beginning substr) bol))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5302 (goto-char (match-beginning substr))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5303 (delete-char width)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5304 (insert-char ? (+ (- max distance) spacing)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5305 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5306 (forward-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5307 (setq bol (point)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5308 eol (save-excursion (end-of-line) (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5309 (setq lines (1- lines))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5310
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5311 (defun vhdl-align-inline-comment-region-1 (beg end &optional spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5312 "Align inline comments in region."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5313 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5314 (let ((high-start 0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5315 (high-length 0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5316 (case-fold-search t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5317 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5318 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5319 ;; search for longest code line and longest inline comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5320 (while (< (point) end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5321 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5322 ((and (not (looking-at "^\\s-*\\(begin\\|end\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5323 (looking-at "^\\(.*[^ \t\n-]+\\)\\s-*\\(--\\s-*.*\\)$"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5324 (setq high-start
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5325 (max high-start (- (match-end 1) (match-beginning 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5326 (setq high-length
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5327 (max high-length (- (match-end 2) (match-beginning 2)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5328 ((and (looking-at "^\\(\\s-*\\))\\(--\\s-*.*\\)$")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5329 (>= (- (match-end 1) (match-beginning 1)) comment-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5330 (setq high-length
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5331 (max high-length (- (match-end 2) (match-beginning 2))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5332 (beginning-of-line 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5333 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5334 (setq spacing (or spacing 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5335 (setq high-start (+ high-start spacing))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5336 ;; align as nice as possible
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5337 (while (< (point) end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5338 (when (and (not (looking-at "^\\s-*\\(begin\\|end\\)\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5339 (or (looking-at "^.*[^ \t\n-]+\\(\\s-*\\)--")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5340 (and (looking-at "^\\(\\s-*\\)--")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5341 (>= (- (match-end 1) (match-beginning 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5342 comment-column))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5343 (goto-char (match-end 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5344 (delete-region (match-beginning 1) (match-end 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5345 (insert-char ? spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5346 (cond ((<= high-start comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5347 (indent-to comment-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5348 ((<= (+ high-start high-length) end-comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5349 (indent-to high-start))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5350 (t (indent-to comment-column))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5351 (beginning-of-line 2))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5352
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5353 (defun vhdl-align-noindent-region (beg end &optional spacing no-message)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5354 "Align region without indentation."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5355 (interactive "r\nP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5356 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5357 (let (pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5358 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5359 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5360 (setq beg (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5361 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5362 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5363 (untabify beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5364 (unless no-message (message "Aligning..."))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5365 (vhdl-fixup-whitespace-region beg end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5366 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5367 (if (not vhdl-align-groups)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5368 ;; align entire region
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5369 (progn (vhdl-align-region beg end spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5370 (vhdl-align-inline-comment-region-1 beg end))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5371 ;; align groups
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5372 (while (and (< beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5373 (re-search-forward "^\\s-*$" end t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5374 (setq pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5375 (vhdl-align-region beg pos spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5376 (vhdl-align-inline-comment-region-1 beg pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5377 (setq beg (1+ pos))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5378 (goto-char beg))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5379 ;; align last group
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5380 (when (< beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5381 (vhdl-align-region beg end spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5382 (vhdl-align-inline-comment-region-1 beg end)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5383 (unless no-message (message "Aligning...done")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5384
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5385 (defun vhdl-align-group (&optional spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5386 "Align group of lines between empty lines."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5387 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5388 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5389 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5390 beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5391 (setq end (if (re-search-forward "^\\s-*$" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5392 (point-marker) (point-max)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5393 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5394 (setq beg (if (re-search-backward "^\\s-*$" nil t) (point) (point-min)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5395 (untabify beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5396 (message "Aligning...")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5397 (vhdl-fixup-whitespace-region beg end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5398 (vhdl-align-region beg end spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5399 (vhdl-align-inline-comment-region-1 beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5400 (message "Aligning...done"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5401
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5402 (defun vhdl-align-noindent-buffer ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5403 "Align buffer without indentation."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5404 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5405 (vhdl-align-noindent-region (point-min) (point-max)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5406
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5407 (defun vhdl-align-inline-comment-region (beg end &optional spacing no-message)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5408 "Align inline comments within a region. Groups of code lines separated by
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5409 empty lines are aligned individually, if `vhdl-align-groups' is non-nil."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5410 (interactive "r\nP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5411 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5412 (let (pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5413 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5414 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5415 (setq beg (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5416 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5417 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5418 (untabify beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5419 (unless no-message (message "Aligning inline comments..."))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5420 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5421 (if (not vhdl-align-groups)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5422 ;; align entire region
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5423 (vhdl-align-inline-comment-region-1 beg end spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5424 ;; align groups
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5425 (while (and (< beg end) (re-search-forward "^\\s-*$" end t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5426 (setq pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5427 (vhdl-align-inline-comment-region-1 beg pos spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5428 (setq beg (1+ pos))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5429 (goto-char beg))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5430 ;; align last group
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5431 (when (< beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5432 (vhdl-align-inline-comment-region-1 beg end spacing))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5433 (unless no-message (message "Aligning inline comments...done"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5434
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5435 (defun vhdl-align-inline-comment-group (&optional spacing)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5436 "Align inline comments within a group of lines between empty lines."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5437 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5438 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5439 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5440 beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5441 (setq end (if (re-search-forward "^\\s-*$" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5442 (point-marker) (point-max)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5443 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5444 (setq beg (if (re-search-backward "^\\s-*$" nil t) (point) (point-min)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5445 (untabify beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5446 (message "Aligning inline comments...")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5447 (vhdl-align-inline-comment-region-1 beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5448 (message "Aligning inline comments...done"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5449
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5450 (defun vhdl-align-inline-comment-buffer ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5451 "Align inline comments within buffer. Groups of code lines separated by
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5452 empty lines are aligned individually, if `vhdl-align-groups' is non-nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5453 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5454 (vhdl-align-inline-comment-region (point-min) (point-max)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5455
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5456 (defun vhdl-fixup-whitespace-region (beg end &optional no-message)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5457 "Fixup whitespace in region. Surround operator symbols by one space,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5458 eliminate multiple spaces (except at beginning of line), eliminate spaces at
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5459 end of line, do nothing in comments."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5460 (interactive "r")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5461 (unless no-message (message "Fixing up whitespace..."))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5462 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5463 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5464 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5465 ;; surround operator symbols by one space
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5466 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5467 (while (re-search-forward "\\([^/:<>=]\\|^\\)\\(--\\|:\\|=\\|<\\|>\\|:=\\|<=\\|>=\\|=>\\)\\([^=>]\\|$\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5468 end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5469 (if (equal "--" (match-string 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5470 (re-search-forward ".*\n" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5471 (replace-match "\\1 \\2 \\3")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5472 ;; have no space before and one space after `,' and ';'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5473 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5474 (while (re-search-forward "\\(--\\|\\s-*\\([,;]\\)\\)" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5475 (if (equal "--" (match-string 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5476 (re-search-forward ".*\n" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5477 (replace-match "\\2 " nil nil nil 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5478 ;; eliminate multiple spaces and spaces at end of line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5479 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5480 (while (or (and (looking-at "--.*\n") (re-search-forward "--.*\n" end t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5481 (and (looking-at "\\s-+$") (re-search-forward "\\s-+$" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5482 (progn (replace-match "" nil nil) t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5483 (and (looking-at "\\s-+;") (re-search-forward "\\s-+;" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5484 (progn (replace-match ";" nil nil) t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5485 (and (looking-at "^\\s-+") (re-search-forward "^\\s-+" end t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5486 (and (looking-at "\\s-+--") (re-search-forward "\\s-+" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5487 (progn (replace-match " " nil nil) t ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5488 (and (looking-at "\\s-+") (re-search-forward "\\s-+" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5489 (progn (replace-match " " nil nil) t ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5490 (re-search-forward "\\S-+" end t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5491 (unless no-message (message "Fixing up whitespace...done")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5492
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5493 (defun vhdl-fixup-whitespace-buffer ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5494 "Fixup whitespace in buffer. Surround operator symbols by one space,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5495 eliminate multiple spaces (except at beginning of line), eliminate spaces at
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5496 end of line, do nothing in comments."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5497 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5498 (vhdl-fixup-whitespace-region (point-min) (point-max)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5499
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5500 (defun vhdl-beautify-region (beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5501 "Beautify region by applying indentation, whitespace fixup, alignment, and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5502 case fixing to a resion. Calls functions `vhdl-indent-buffer',
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5503 `vhdl-align-noindent-buffer' (variable `vhdl-align-groups' set to non-nil), and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5504 `vhdl-fix-case-buffer'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5505 (interactive "r")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5506 (vhdl-indent-region beg end nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5507 (let ((vhdl-align-groups t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5508 (vhdl-align-noindent-region beg end))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5509 (vhdl-fix-case-region beg end))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5510
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5511 (defun vhdl-beautify-buffer ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5512 "Beautify buffer by applying indentation, whitespace fixup, alignment, and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5513 case fixing to entire buffer. Calls `vhdl-beautify-region' for the entire
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5514 buffer."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5515 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5516 (vhdl-beautify-region (point-min) (point-max)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5517
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5518
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5519 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5520 ;;; Electrification
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5521 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5522
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5523 (defconst vhdl-template-prompt-syntax "[^ =<>][^<>@.\n]*[^ =<>]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5524 "Syntax of prompt inserted by template generators.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5525
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5526 (defvar vhdl-template-invoked-by-hook nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5527 "Indicates whether a template has been invoked by a hook or by key or menu.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5528 Used for undoing after template abortion.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5529
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5530 ;; correct different behavior of function `unread-command-events' in XEmacs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5531 (defalias 'vhdl-character-to-event
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5532 (if (string-match "XEmacs" emacs-version) 'character-to-event 'identity))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5533
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5534 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5535 ;; Enabling/disabling
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5536
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5537 (defun vhdl-mode-line-update ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5538 "Update the modeline string for VHDL major mode."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5539 (setq mode-name (concat "VHDL"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5540 (and (or vhdl-electric-mode vhdl-stutter-mode) "/")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5541 (and vhdl-electric-mode "e")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5542 (and vhdl-stutter-mode "s")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5543 (force-mode-line-update))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5544
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5545 (defun vhdl-electric-mode (arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5546 "Toggle VHDL electric mode.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5547 Turn on if ARG positive, turn off if ARG negative, toggle if ARG zero or nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5548 (interactive "P")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5549 (setq vhdl-electric-mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5550 (cond ((or (not arg) (zerop arg)) (not vhdl-electric-mode))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5551 ((> arg 0) t) (t nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5552 (vhdl-mode-line-update))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5553
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5554 (defun vhdl-stutter-mode (arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5555 "Toggle VHDL stuttering mode.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5556 Turn on if ARG positive, turn off if ARG negative, toggle if ARG zero or nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5557 (interactive "P")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5558 (setq vhdl-stutter-mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5559 (cond ((or (not arg) (zerop arg)) (not vhdl-stutter-mode))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5560 ((> arg 0) t) (t nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5561 (vhdl-mode-line-update))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5562
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5563 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5564 ;; Stuttering
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5565
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5566 (defun vhdl-electric-dash (count)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5567 "-- starts a comment, --- draws a horizontal line,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5568 ---- starts a display comment"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5569 (interactive "p")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5570 (if vhdl-stutter-mode
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5571 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5572 ((and abbrev-start-location (= abbrev-start-location (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5573 (setq abbrev-start-location nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5574 (goto-char last-abbrev-location)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5575 (beginning-of-line nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5576 (vhdl-comment-display))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5577 ((/= (preceding-char) ?-) ; standard dash (minus)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5578 (self-insert-command count))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5579 (t (self-insert-command count)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5580 (message "Enter '-' for horiz. line, 'CR' for commenting-out code, else enter comment")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5581 (let ((next-input (read-char)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5582 (if (= next-input ?-) ; triple dash
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5583 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5584 (vhdl-comment-display-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5585 (message
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5586 "Enter '-' for display comment, else continue coding")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5587 (let ((next-input (read-char)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5588 (if (= next-input ?-) ; four dashes
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5589 (vhdl-comment-display t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5590 (setq unread-command-events ; pushback the char
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5591 (list (vhdl-character-to-event next-input))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5592 (setq unread-command-events ; pushback the char
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5593 (list (vhdl-character-to-event next-input)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5594 (vhdl-comment-insert)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5595 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5596
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5597 (defun vhdl-electric-open-bracket (count) "'[' --> '(', '([' --> '['"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5598 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5599 (if (and vhdl-stutter-mode (= count 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5600 (if (= (preceding-char) ?\()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5601 (progn (delete-char -1) (insert-char ?\[ 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5602 (insert-char ?\( 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5603 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5604
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5605 (defun vhdl-electric-close-bracket (count) "']' --> ')', ')]' --> ']'"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5606 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5607 (if (and vhdl-stutter-mode (= count 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5608 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5609 (if (= (preceding-char) ?\))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5610 (progn (delete-char -1) (insert-char ?\] 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5611 (insert-char ?\) 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5612 (blink-matching-open))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5613 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5614
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5615 (defun vhdl-electric-quote (count) "'' --> \""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5616 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5617 (if (and vhdl-stutter-mode (= count 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5618 (if (= (preceding-char) last-input-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5619 (progn (delete-backward-char 1) (insert-char ?\" 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5620 (insert-char ?\' 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5621 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5622
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5623 (defun vhdl-electric-semicolon (count) "';;' --> ' : ', ': ;' --> ' := '"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5624 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5625 (if (and vhdl-stutter-mode (= count 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5626 (cond ((= (preceding-char) last-input-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5627 (progn (delete-char -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5628 (when (not (eq (preceding-char) ? )) (insert " "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5629 (insert ": ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5630 (setq this-command 'vhdl-electric-colon)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5631 ((and
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5632 (eq last-command 'vhdl-electric-colon) (= (preceding-char) ? ))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5633 (progn (delete-char -1) (insert "= ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5634 (t (insert-char ?\; 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5635 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5636
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5637 (defun vhdl-electric-comma (count) "',,' --> ' <= '"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5638 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5639 (if (and vhdl-stutter-mode (= count 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5640 (cond ((= (preceding-char) last-input-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5641 (progn (delete-char -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5642 (when (not (eq (preceding-char) ? )) (insert " "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5643 (insert "<= ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5644 (t (insert-char ?\, 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5645 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5646
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5647 (defun vhdl-electric-period (count) "'..' --> ' => '"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5648 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5649 (if (and vhdl-stutter-mode (= count 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5650 (cond ((= (preceding-char) last-input-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5651 (progn (delete-char -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5652 (when (not (eq (preceding-char) ? )) (insert " "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5653 (insert "=> ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5654 (t (insert-char ?\. 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5655 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5656
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5657 (defun vhdl-electric-equal (count) "'==' --> ' == '"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5658 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5659 (if (and vhdl-stutter-mode (= count 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5660 (cond ((= (preceding-char) last-input-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5661 (progn (delete-char -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5662 (when (not (eq (preceding-char) ? )) (insert " "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5663 (insert "== ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5664 (t (insert-char ?\= 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5665 (self-insert-command count)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5666
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5667 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5668 ;; VHDL templates
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5669
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5670 (defun vhdl-template-paired-parens ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5671 "Insert a pair of round parentheses, placing point between them."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5672 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5673 (insert "()")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5674 (backward-char))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5675
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5676 (defun vhdl-template-alias ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5677 "Insert alias declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5678 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5679 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5680 (vhdl-insert-keyword "ALIAS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5681 (when (vhdl-template-field "name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5682 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5683 (unless (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5684 (concat "[type" (and (vhdl-standard-p 'ams) " or nature") "]")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5685 nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5686 (backward-delete-char 3))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5687 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5688 (vhdl-template-field "name" ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5689 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5690
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5691 (defun vhdl-template-architecture ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5692 "Insert architecture."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5693 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5694 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5695 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5696 arch-name entity-exists string
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5697 (case-fold-search t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5698 (vhdl-insert-keyword "ARCHITECTURE ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5699 (when (setq arch-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5700 (vhdl-template-field "name" nil t start (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5701 (vhdl-insert-keyword " OF ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5702 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5703 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5704 (setq entity-exists (re-search-backward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5705 "\\<entity \\(\\w+\\) is\\>" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5706 (setq string (match-string 1))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5707 (if (and entity-exists (not (equal string "")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5708 (insert string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5709 (vhdl-template-field "entity name"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5710 (vhdl-insert-keyword " IS")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5711 (vhdl-template-begin-end
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5712 (unless (vhdl-standard-p '87) "ARCHITECTURE") arch-name margin
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5713 (memq vhdl-insert-empty-lines '(unit all))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5714
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5715 (defun vhdl-template-array (kind &optional secondary)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5716 "Insert array type definition."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5717 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5718 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5719 (vhdl-insert-keyword "ARRAY (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5720 (when (or (vhdl-template-field "range" nil (not secondary) start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5721 secondary)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5722 (vhdl-insert-keyword ") OF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5723 (vhdl-template-field (if (eq kind 'type) "type" "nature"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5724 (vhdl-insert-keyword ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5725
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5726 (defun vhdl-template-assert ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5727 "Insert an assertion statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5728 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5729 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5730 (vhdl-insert-keyword "ASSERT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5731 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5732 (when (vhdl-template-field "condition (negated)" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5733 (when vhdl-conditions-in-parenthesis (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5734 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5735 (vhdl-insert-keyword " REPORT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5736 (unless (vhdl-template-field "string expression" nil nil nil nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5737 (delete-region start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5738 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5739 (vhdl-insert-keyword " SEVERITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5740 (unless (vhdl-template-field "[NOTE | WARNING | ERROR | FAILURE]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5741 (delete-region start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5742 (insert ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5743
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5744 (defun vhdl-template-attribute ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5745 "Insert an attribute declaration or specification."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5746 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5747 (if (eq (vhdl-decision-query
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5748 "attribute" "(d)eclaration or (s)pecification?" t) ?s)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5749 (vhdl-template-attribute-spec)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5750 (vhdl-template-attribute-decl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5751
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5752 (defun vhdl-template-attribute-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5753 "Insert an attribute declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5754 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5755 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5756 (vhdl-insert-keyword "ATTRIBUTE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5757 (when (vhdl-template-field "name" " : " t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5758 (vhdl-template-field "type" ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5759 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5760
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5761 (defun vhdl-template-attribute-spec ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5762 "Insert an attribute specification."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5763 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5764 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5765 (vhdl-insert-keyword "ATTRIBUTE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5766 (when (vhdl-template-field "name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5767 (vhdl-insert-keyword " OF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5768 (vhdl-template-field "entity names | OTHERS | ALL" " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5769 (vhdl-template-field "entity class")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5770 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5771 (vhdl-template-field "expression" ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5772
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5773 (defun vhdl-template-block ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5774 "Insert a block."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5775 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5776 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5777 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5778 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5779 (vhdl-insert-keyword ": BLOCK ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5780 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5781 (when (setq label (vhdl-template-field "label" nil t start (+ (point) 8)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5782 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5783 (forward-char 1)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5784 (insert "(")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5785 (if (vhdl-template-field "[guard expression]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5786 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5787 (delete-char -2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5788 (unless (vhdl-standard-p '87) (vhdl-insert-keyword " IS"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5789 (vhdl-template-begin-end "BLOCK" label margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5790 (vhdl-comment-block))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5791
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5792 (defun vhdl-template-block-configuration ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5793 "Insert a block configuration statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5794 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5795 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5796 (start (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5797 (vhdl-insert-keyword "FOR ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5798 (when (vhdl-template-field "block name" nil t start (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5799 (vhdl-insert-keyword "\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5800 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5801 (vhdl-insert-keyword "END FOR;")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5802 (end-of-line 0)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5803 (indent-to (+ margin vhdl-basic-offset)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5804
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5805 (defun vhdl-template-break ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5806 "Insert a break statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5807 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5808 (let (position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5809 (vhdl-insert-keyword "BREAK")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5810 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5811 (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5812 (while (or
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5813 (progn (vhdl-insert-keyword "FOR ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5814 (if (vhdl-template-field "[quantity name]" " USE " t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5815 (progn (vhdl-template-field "quantity name" " => ") t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5816 (kill-word -1) nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5817 (vhdl-template-field "[quantity name]" " => " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5818 (vhdl-template-field "expression")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5819 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5820 (insert ", "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5821 (delete-region position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5822 (unless (vhdl-sequential-statement-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5823 (vhdl-insert-keyword " ON ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5824 (if (vhdl-template-field "[sensitivity list]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5825 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5826 (delete-region position (point))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5827 (vhdl-insert-keyword " WHEN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5828 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5829 (if (vhdl-template-field "[condition]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5830 (when vhdl-conditions-in-parenthesis (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5831 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5832 (insert ";")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5833
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5834 (defun vhdl-template-case (&optional kind)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5835 "Insert a case statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5836 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5837 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5838 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5839 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5840 (unless kind (setq kind (if (vhdl-sequential-statement-p) 'is 'use)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5841 (if (or (not (eq vhdl-optional-labels 'all)) (vhdl-standard-p '87))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5842 (vhdl-insert-keyword "CASE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5843 (vhdl-insert-keyword ": CASE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5844 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5845 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5846 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5847 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5848 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5849 (when (vhdl-template-field "expression" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5850 (vhdl-insert-keyword (concat " " (if (eq kind 'is) "IS" "USE") "\n\n"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5851 (indent-to margin)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5852 (vhdl-insert-keyword "END CASE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5853 (when label (insert " " label))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5854 (insert ";")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5855 (forward-line -1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5856 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5857 (vhdl-insert-keyword "WHEN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5858 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5859 (insert " => ;\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5860 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5861 (vhdl-insert-keyword "WHEN OTHERS => null;")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5862 (goto-char position)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5863
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5864 (defun vhdl-template-case-is ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5865 "Insert a sequential case statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5866 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5867 (vhdl-template-case 'is))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5868
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5869 (defun vhdl-template-case-use ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5870 "Insert a simultaneous case statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5871 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5872 (vhdl-template-case 'use))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5873
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5874 (defun vhdl-template-component ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5875 "Insert a component declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5876 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5877 (vhdl-template-component-decl))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5878
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5879 (defun vhdl-template-component-conf ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5880 "Insert a component configuration (uses `vhdl-template-configuration-spec'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5881 since these are almost equivalent)."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5882 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5883 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5884 (result (vhdl-template-configuration-spec t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5885 (when result
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5886 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5887 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5888 (vhdl-insert-keyword "END FOR;")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5889 (when (eq result 'no-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5890 (end-of-line -0)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5891
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5892 (defun vhdl-template-component-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5893 "Insert a component declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5894 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5895 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5896 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5897 name end-column)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5898 (vhdl-insert-keyword "COMPONENT ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5899 (when (setq name (vhdl-template-field "name" nil t start (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5900 (insert "\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5901 (indent-to margin)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5902 (vhdl-insert-keyword "END COMPONENT")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5903 (unless (vhdl-standard-p '87) (insert " " name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5904 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5905 (setq end-column (current-column))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5906 (end-of-line -0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5907 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5908 (vhdl-template-generic-list t t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5909 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5910 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5911 (vhdl-template-port-list t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5912 (beginning-of-line 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5913 (forward-char end-column))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5914
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5915 (defun vhdl-template-component-inst ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5916 "Insert a component instantiation statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5917 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5918 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5919 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5920 unit position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5921 (when (vhdl-template-field "instance label" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5922 (insert ": ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5923 (if (vhdl-standard-p '87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5924 (vhdl-template-field "component name")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5925 ;; direct instantiation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5926 (setq unit (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5927 "[COMPONENT | ENTITY | CONFIGURATION]" " " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5928 (setq unit (upcase (or unit "")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5929 (cond ((equal unit "ENTITY")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5930 (vhdl-template-field "library name" "." nil nil nil nil "work")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5931 (vhdl-template-field "entity name" "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5932 (if (vhdl-template-field "[architecture name]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5933 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5934 (delete-char -1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5935 ((equal unit "CONFIGURATION")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5936 (vhdl-template-field "library name" "." nil nil nil nil "work")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5937 (vhdl-template-field "configuration name"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5938 (t (vhdl-template-field "component name"))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5939 (insert "\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5940 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5941 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5942 (vhdl-insert-keyword "GENERIC ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5943 (when (vhdl-template-map position t t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5944 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5945 (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5946 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5947 (vhdl-insert-keyword "PORT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5948 (unless (vhdl-template-map position t t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5949 (kill-line -0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5950 (delete-char -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5951 (insert ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5952
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5953 (defun vhdl-template-conditional-signal-asst ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5954 "Insert a conditional signal assignment."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5955 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5956 (when (vhdl-template-field "target signal")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5957 (insert " <= ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5958 ; (if (not (equal (vhdl-template-field "[GUARDED] [TRANSPORT]") ""))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5959 ; (insert " "))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5960 (let ((margin (current-column))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5961 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5962 position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5963 (vhdl-template-field "waveform")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5964 (setq position (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5965 (vhdl-insert-keyword " WHEN ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5966 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5967 (while (and (vhdl-template-field "[condition]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5968 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5969 (when vhdl-conditions-in-parenthesis (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5970 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5971 (vhdl-insert-keyword " ELSE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5972 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5973 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5974 (vhdl-template-field "[waveform]" nil t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5975 (setq position (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5976 (vhdl-insert-keyword " WHEN ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5977 (when vhdl-conditions-in-parenthesis (insert "(")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5978 (delete-region position (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5979 (insert ";")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5980 (when vhdl-auto-align (vhdl-align-noindent-region start (point) 1)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5981
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5982 (defun vhdl-template-configuration ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5983 "Insert a configuration specification if within an architecture,
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5984 a block or component configuration if within a configuration declaration,
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5985 a configuration declaration if not within a design unit."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
5986 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5987 (let ((case-fold-search t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5988 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5989 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5990 ((and (save-excursion ; architecture body
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5991 (re-search-backward "^\\(architecture\\|end\\)\\>" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5992 (equal "ARCHITECTURE" (upcase (match-string 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5993 (vhdl-template-configuration-spec))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5994 ((and (save-excursion ; configuration declaration
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5995 (re-search-backward "^\\(configuration\\|end\\)\\>" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5996 (equal "CONFIGURATION" (upcase (match-string 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5997 (if (eq (vhdl-decision-query
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5998 "configuration" "(b)lock or (c)omponent configuration?" t) ?c)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
5999 (vhdl-template-component-conf)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6000 (vhdl-template-block-configuration)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6001 (t (vhdl-template-configuration-decl)))))) ; otherwise
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6002
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6003 (defun vhdl-template-configuration-spec (&optional optional-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6004 "Insert a configuration specification."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6005 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6006 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6007 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6008 aspect position)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6009 (vhdl-insert-keyword "FOR ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6010 (when (vhdl-template-field "component names | OTHERS | ALL" " : "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6011 t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6012 (vhdl-template-field "component type" "\n")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6013 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6014 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6015 (vhdl-insert-keyword "USE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6016 (if (and optional-use
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6017 (not (setq aspect (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6018 "[ENTITY | CONFIGURATION | OPEN]" " " t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6019 (progn (delete-region start (point)) 'no-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6020 (unless optional-use
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6021 (setq aspect (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6022 "ENTITY | CONFIGURATION | OPEN" " ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6023 (setq aspect (upcase (or aspect "")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6024 (cond ((equal aspect "ENTITY")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6025 (vhdl-template-field "library name" "." nil nil nil nil "work")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6026 (vhdl-template-field "entity name" "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6027 (if (vhdl-template-field "[architecture name]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6028 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6029 (delete-char -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6030 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6031 (indent-to (+ margin (* 2 vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6032 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6033 (vhdl-insert-keyword "GENERIC ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6034 (when (vhdl-template-map position t t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6035 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6036 (indent-to (+ margin (* 2 vhdl-basic-offset))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6037 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6038 (vhdl-insert-keyword "PORT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6039 (unless (vhdl-template-map position t t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6040 (kill-line -0)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6041 (delete-char -1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6042 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6043 t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6044 ((equal aspect "CONFIGURATION")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6045 (vhdl-template-field "library name" "." nil nil nil nil "work")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6046 (vhdl-template-field "configuration name" ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6047 (t (backward-delete-char 1) (insert ";") t))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6048
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6049
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6050 (defun vhdl-template-configuration-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6051 "Insert a configuration declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6052 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6053 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6054 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6055 (case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6056 entity-exists string name position)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6057 (vhdl-insert-keyword "CONFIGURATION ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6058 (when (setq name (vhdl-template-field "name" nil t start (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6059 (vhdl-insert-keyword " OF ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6060 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6061 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6062 (setq entity-exists (re-search-backward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6063 "\\<entity \\(\\w*\\) is\\>" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6064 (setq string (match-string 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6065 (if (and entity-exists (not (equal string "")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6066 (insert string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6067 (vhdl-template-field "entity name"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6068 (vhdl-insert-keyword " IS\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6069 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6070 (indent-to (+ margin vhdl-basic-offset))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6071 (setq position (point))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6072 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6073 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6074 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6075 (vhdl-insert-keyword "END ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6076 (unless (vhdl-standard-p '87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6077 (vhdl-insert-keyword "CONFIGURATION "))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6078 (insert name ";")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6079 (goto-char position))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6080
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6081 (defun vhdl-template-constant ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6082 "Insert a constant declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6083 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6084 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6085 (in-arglist (vhdl-in-argument-list-p)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6086 (vhdl-insert-keyword "CONSTANT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6087 (when (vhdl-template-field "name" nil t start (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6088 (insert " : ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6089 (when in-arglist (vhdl-insert-keyword "IN "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6090 (vhdl-template-field "type")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6091 (if in-arglist
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6092 (progn (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6093 (vhdl-comment-insert-inline))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6094 (let ((position (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6095 (insert " := ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6096 (unless (vhdl-template-field "[initialization]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6097 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6098 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6099 (vhdl-comment-insert-inline))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6100
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6101 (defun vhdl-template-default ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6102 "Insert nothing."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6103 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6104 (insert " ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6105 (unexpand-abbrev)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6106 (backward-word 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6107 (vhdl-case-word 1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6108 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6109
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6110 (defun vhdl-template-default-indent ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6111 "Insert nothing and indent."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6112 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6113 (insert " ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6114 (unexpand-abbrev)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6115 (backward-word 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6116 (vhdl-case-word 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6117 (forward-char 1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6118 (vhdl-indent-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6119
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6120 (defun vhdl-template-disconnect ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6121 "Insert a disconnect statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6122 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6123 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6124 (vhdl-insert-keyword "DISCONNECT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6125 (when (vhdl-template-field "signal names | OTHERS | ALL"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6126 " : " t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6127 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6128 (vhdl-insert-keyword " AFTER ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6129 (vhdl-template-field "time expression" ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6130
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6131 (defun vhdl-template-else ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6132 "Insert an else statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6133 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6134 (let ((case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6135 margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6136 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6137 (vhdl-insert-keyword "ELSE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6138 (if (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6139 (re-search-backward "\\(\\<when\\>\\|;\\)" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6140 (equal "WHEN" (upcase (match-string 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6141 (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6142 (vhdl-indent-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6143 (setq margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6144 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6145 (indent-to (+ margin vhdl-basic-offset))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6146
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6147 (defun vhdl-template-elsif ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6148 "Insert an elsif statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6149 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6150 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6151 margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6152 (vhdl-insert-keyword "ELSIF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6153 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6154 (when (vhdl-template-field "condition" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6155 (when vhdl-conditions-in-parenthesis (insert ")"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6156 (vhdl-indent-line)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6157 (setq margin (current-indentation))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6158 (vhdl-insert-keyword
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6159 (concat " " (if (vhdl-sequential-statement-p) "THEN" "USE") "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6160 (indent-to (+ margin vhdl-basic-offset)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6161
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6162 (defun vhdl-template-entity ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6163 "Insert an entity."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6164 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6165 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6166 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6167 name end-column)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6168 (vhdl-insert-keyword "ENTITY ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6169 (when (setq name (vhdl-template-field "name" nil t start (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6170 (vhdl-insert-keyword " IS\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6171 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6172 (vhdl-insert-keyword "END ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6173 (unless (vhdl-standard-p '87) (vhdl-insert-keyword "ENTITY "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6174 (insert name ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6175 (setq end-column (current-column))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6176 (end-of-line -0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6177 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6178 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6179 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6180 (when (vhdl-template-generic-list t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6181 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6182 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6183 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6184 (when (vhdl-template-port-list t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6185 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6186 (beginning-of-line 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6187 (forward-char end-column))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6188
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6189 (defun vhdl-template-exit ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6190 "Insert an exit statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6191 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6192 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6193 (vhdl-insert-keyword "EXIT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6194 (unless (vhdl-template-field "[loop label]" nil t)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6195 (delete-char -1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6196 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6197 (vhdl-insert-keyword " WHEN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6198 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6199 (if (vhdl-template-field "[condition]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6200 (when vhdl-conditions-in-parenthesis (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6201 (delete-region position (point))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6202 (insert ";")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6203
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6204 (defun vhdl-template-file ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6205 "Insert a file declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6206 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6207 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6208 (vhdl-insert-keyword "FILE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6209 (when (vhdl-template-field "name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6210 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6211 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6212 (unless (vhdl-standard-p '87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6213 (vhdl-insert-keyword " OPEN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6214 (unless (vhdl-template-field "[READ_MODE | WRITE_MODE | APPEND_MODE]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6215 nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6216 (backward-delete-char 6)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6217 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6218 (when (vhdl-standard-p '87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6219 (vhdl-template-field "[IN | OUT]" " " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6220 (vhdl-template-field "filename-string" nil nil nil nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6221 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6222 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6223
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6224 (defun vhdl-template-for ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6225 "Insert a block or component configuration if within a configuration
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6226 declaration, a configuration specification if within an architecture
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6227 declarative part (and not within a subprogram), and a for-loop otherwise."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6228 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6229 (let ((case-fold-search t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6230 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6231 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6232 ((and (save-excursion ; configuration declaration
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6233 (re-search-backward "^\\(configuration\\|end\\)\\>" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6234 (equal "CONFIGURATION" (upcase (match-string 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6235 (if (eq (vhdl-decision-query
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6236 "for" "(b)lock or (c)omponent configuration?" t) ?c)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6237 (vhdl-template-component-conf)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6238 (vhdl-template-block-configuration)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6239 ((and (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6240 (re-search-backward ; architecture declarative part
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6241 "^\\(architecture\\|entity\\|begin\\|end\\)\\>" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6242 (equal "ARCHITECTURE" (upcase (match-string 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6243 (not (and (save-excursion ; not subprogram
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6244 (re-search-backward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6245 "^\\s-*\\(architecture\\|begin\\|end\\)\\>" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6246 (equal "BEGIN" (upcase (match-string 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6247 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6248 (re-search-backward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6249 "^\\s-*\\(function\\|procedure\\)\\>" nil t)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6250 (vhdl-template-configuration-spec))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6251 ((vhdl-sequential-statement-p) ; sequential statement
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6252 (vhdl-template-for-loop))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6253 (t (vhdl-template-for-generate)))))) ; concurrent statement
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6254
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6255 (defun vhdl-template-for-generate ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6256 "Insert a for-generate."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6257 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6258 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6259 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6260 label string position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6261 (vhdl-insert-keyword ": FOR ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6262 (setq position (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6263 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6264 (when (setq label (vhdl-template-field "label" nil t start position))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6265 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6266 (vhdl-template-field "loop variable")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6267 (vhdl-insert-keyword " IN ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6268 (vhdl-template-field "range")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6269 (vhdl-template-generate-body margin label))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6270
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6271 (defun vhdl-template-for-loop ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6272 "Insert a for loop."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6273 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6274 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6275 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6276 label index)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6277 (if (not (eq vhdl-optional-labels 'all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6278 (vhdl-insert-keyword "FOR ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6279 (vhdl-insert-keyword ": FOR ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6280 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6281 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6282 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6283 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6284 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6285 (when (setq index (vhdl-template-field "loop variable"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6286 nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6287 (vhdl-insert-keyword " IN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6288 (vhdl-template-field "range")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6289 (vhdl-insert-keyword " LOOP\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6290 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6291 (vhdl-insert-keyword "END LOOP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6292 (if label
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6293 (insert " " label ";")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6294 (insert ";")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6295 (when vhdl-self-insert-comments (insert " -- " index)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6296 (forward-line -1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6297 (indent-to (+ margin vhdl-basic-offset)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6298
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6299 (defun vhdl-template-footer ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6300 "Insert a VHDL file footer."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6301 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6302 (unless (equal vhdl-file-footer "")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6303 (save-excursion
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6304 (goto-char (point-max))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6305 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6306 (vhdl-insert-string-or-file vhdl-file-footer))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6307
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6308 (defun vhdl-template-function (&optional kind)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6309 "Insert a function declaration or body."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6310 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6311 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6312 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6313 name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6314 (vhdl-insert-keyword "FUNCTION ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6315 (when (setq name (vhdl-template-field "name" nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6316 (vhdl-template-argument-list t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6317 (when vhdl-auto-align (vhdl-align-noindent-region start (point) 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6318 (end-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6319 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6320 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6321 (vhdl-insert-keyword "RETURN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6322 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6323 (if (if kind (eq kind 'body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6324 (eq (vhdl-decision-query nil "(d)eclaration or (b)ody?") ?b))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6325 (progn (vhdl-insert-keyword " IS")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6326 (vhdl-template-begin-end
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6327 (unless (vhdl-standard-p '87) "FUNCTION") name margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6328 (vhdl-comment-block))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6329 (insert ";")))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6330
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6331 (defun vhdl-template-function-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6332 "Insert a function declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6333 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6334 (vhdl-template-function 'decl))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6335
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6336 (defun vhdl-template-function-body ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6337 "Insert a function declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6338 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6339 (vhdl-template-function 'body))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6340
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6341 (defun vhdl-template-generate ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6342 "Insert a generation scheme."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6343 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6344 (if (eq (vhdl-decision-query nil "(f)or or (i)f?" t) ?i)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6345 (vhdl-template-if-generate)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6346 (vhdl-template-for-generate)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6347
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6348 (defun vhdl-template-generic ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6349 "Insert generic declaration, or generic map in instantiation statements."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6350 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6351 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6352 (case-fold-search t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6353 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6354 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6355 ((and (save-excursion ; entity declaration
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6356 (re-search-backward "^\\(entity\\|end\\)\\>" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6357 (equal "ENTITY" (upcase (match-string 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6358 (vhdl-template-generic-list nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6359 ((or (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6360 (or (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6361 (looking-at "^\\s-*\\w+\\s-*:\\s-*\\w+")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6362 (equal 'statement-cont (car (car (vhdl-get-syntactic-context)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6363 (vhdl-insert-keyword "GENERIC ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6364 (vhdl-template-map start))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6365 (t (vhdl-template-generic-list nil t))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6366
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6367 (defun vhdl-template-group ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6368 "Insert group or group template declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6369 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6370 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6371 (if (eq (vhdl-decision-query
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6372 "group" "(d)eclaration or (t)emplate declaration?" t) ?t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6373 (vhdl-template-group-template)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6374 (vhdl-template-group-decl))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6375
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6376 (defun vhdl-template-group-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6377 "Insert group declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6378 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6379 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6380 (vhdl-insert-keyword "GROUP ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6381 (when (vhdl-template-field "name" " : " t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6382 (vhdl-template-field "template name" " (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6383 (vhdl-template-field "constituent list" ");")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6384 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6385
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6386 (defun vhdl-template-group-template ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6387 "Insert group template declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6388 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6389 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6390 (vhdl-insert-keyword "GROUP ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6391 (when (vhdl-template-field "template name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6392 (vhdl-insert-keyword " IS (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6393 (vhdl-template-field "entity class list" ");")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6394 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6395
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6396 (defun vhdl-template-header ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6397 "Insert a VHDL file header."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6398 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6399 (unless (equal vhdl-file-header "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6400 (let ((case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6401 (project-name (or (nth 0 (aget vhdl-project-alist vhdl-project)) ""))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6402 (project-desc (or (nth 2 (aget vhdl-project-alist vhdl-project)) ""))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6403 eot)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6404 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6405 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6406 (save-restriction
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6407 (widen)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6408 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6409 (vhdl-insert-string-or-file vhdl-file-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6410 (setq eot (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6411 (narrow-to-region (point-min) eot)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6412 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6413 (while (search-forward "<projectdesc>" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6414 (replace-match project-desc t t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6415 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6416 (while (search-forward "<filename>" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6417 (replace-match (buffer-name) t t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6418 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6419 (while (search-forward "<author>" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6420 (replace-match "" t t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6421 (insert (user-full-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6422 (when user-mail-address (insert " <" user-mail-address ">")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6423 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6424 (while (search-forward "<login>" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6425 (replace-match (user-login-name) t t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6426 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6427 (while (search-forward "<project>" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6428 (replace-match project-name t t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6429 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6430 (while (search-forward "<company>" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6431 (replace-match vhdl-company-name t t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6432 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6433 (while (search-forward "<platform>" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6434 (replace-match vhdl-platform-spec t t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6435 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6436 ;; Replace <RCS> with $, so that RCS for the source is
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6437 ;; not over-enthusiastic with replacements
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6438 (while (search-forward "<RCS>" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6439 (replace-match "$" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6440 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6441 (while (search-forward "<date>" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6442 (replace-match "" t t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6443 (vhdl-template-insert-date))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6444 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6445 (let (string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6446 (while
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6447 (re-search-forward "<\\(\\(\\w\\|\\s_\\)*\\) string>" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6448 (setq string (read-string (concat (match-string 1) ": ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6449 (replace-match string t t)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6450 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6451 (when (search-forward "<cursor>" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6452 (replace-match "" t t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6453 (when (or (not project-name) (equal project-name ""))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6454 (message "You can specify a project title in custom variable `vhdl-project-alist'"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6455 (when (or (not project-desc) (equal project-desc ""))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6456 (message "You can specify a project description in custom variable `vhdl-project-alist'"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6457 (when (equal vhdl-company-name "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6458 (message "You can specify a company name in custom variable `vhdl-company-name'"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6459 (when (equal vhdl-platform-spec "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6460 (message "You can specify a platform in custom variable `vhdl-platform-spec'"))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6461
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6462 (defun vhdl-template-if ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6463 "Insert a sequential if statement or an if-generate statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6464 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6465 (if (vhdl-sequential-statement-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6466 (vhdl-template-if-then)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6467 (if (and (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6468 (eq (vhdl-decision-query "if" "(g)enerate or (u)se?" t) ?u))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6469 (vhdl-template-if-use)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6470 (vhdl-template-if-generate))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6471
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6472 (defun vhdl-template-if-generate ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6473 "Insert an if-generate."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6474 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6475 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6476 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6477 label string position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6478 (vhdl-insert-keyword ": IF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6479 (setq position (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6480 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6481 (when (setq label (vhdl-template-field "label" nil t start position))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6482 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6483 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6484 (vhdl-template-field "condition")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6485 (when vhdl-conditions-in-parenthesis (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6486 (vhdl-template-generate-body margin label))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6487
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6488 (defun vhdl-template-if-then-use (kind)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6489 "Insert a sequential if statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6490 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6491 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6492 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6493 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6494 (if (or (not (eq vhdl-optional-labels 'all)) (vhdl-standard-p '87))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6495 (vhdl-insert-keyword "IF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6496 (vhdl-insert-keyword ": IF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6497 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6498 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6499 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6500 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6501 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6502 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6503 (when (vhdl-template-field "condition" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6504 (when vhdl-conditions-in-parenthesis (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6505 (vhdl-insert-keyword
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6506 (concat " " (if (eq kind 'then) "THEN" "USE") "\n\n"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6507 (indent-to margin)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6508 (vhdl-insert-keyword "END IF")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6509 (when label (insert " " label))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6510 (insert ";")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6511 (forward-line -1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6512 (indent-to (+ margin vhdl-basic-offset)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6513
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6514 (defun vhdl-template-if-then ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6515 "Insert a sequential if statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6516 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6517 (vhdl-template-if-then-use 'then))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6518
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6519 (defun vhdl-template-if-use ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6520 "Insert a simultaneous if statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6521 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6522 (vhdl-template-if-then-use 'use))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6523
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6524 (defun vhdl-template-instance ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6525 "Insert a component instantiation statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6526 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6527 (vhdl-template-component-inst))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6528
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6529 (defun vhdl-template-library ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6530 "Insert a library specification."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6531 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6532 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6533 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6534 name end-pos)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6535 (vhdl-insert-keyword "LIBRARY ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6536 (when (setq name (vhdl-template-field "names" nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6537 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6538 (unless (string-match "," name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6539 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6540 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6541 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6542 (vhdl-insert-keyword "USE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6543 (insert name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6544 (vhdl-insert-keyword "..ALL;")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6545 (backward-char 5)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6546 (if (vhdl-template-field "package name")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6547 (forward-char 5)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6548 (delete-region end-pos (+ (point) 5)))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6549
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6550 (defun vhdl-template-limit ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6551 "Insert a limit."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6552 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6553 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6554 (vhdl-insert-keyword "LIMIT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6555 (when (vhdl-template-field "quantity names | OTHERS | ALL" " : "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6556 t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6557 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6558 (vhdl-insert-keyword " WITH ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6559 (vhdl-template-field "real expression" ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6560
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6561 (defun vhdl-template-loop ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6562 "Insert a loop."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6563 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6564 (let ((char (vhdl-decision-query nil "(w)hile, (f)or, or (b)are?" t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6565 (cond ((eq char ?w)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6566 (vhdl-template-while-loop))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6567 ((eq char ?f)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6568 (vhdl-template-for-loop))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6569 (t (vhdl-template-bare-loop)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6570
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6571 (defun vhdl-template-bare-loop ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6572 "Insert a loop."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6573 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6574 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6575 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6576 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6577 (if (not (eq vhdl-optional-labels 'all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6578 (vhdl-insert-keyword "LOOP ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6579 (vhdl-insert-keyword ": LOOP ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6580 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6581 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6582 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6583 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6584 (delete-char 1))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6585 (insert "\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6586 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6587 (vhdl-insert-keyword "END LOOP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6588 (insert (if label (concat " " label ";") ";"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6589 (forward-line -1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6590 (indent-to (+ margin vhdl-basic-offset))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6591
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6592 (defun vhdl-template-map (&optional start optional secondary)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6593 "Insert a map specification with association list."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6594 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6595 (let ((start (or start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6596 margin end-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6597 (vhdl-insert-keyword "MAP (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6598 (if (not vhdl-association-list-with-formals)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6599 (if (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6600 (concat (and optional "[") "association list" (and optional "]"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6601 ")" (or (not secondary) optional)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6602 (and (not secondary) start) (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6603 t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6604 (if (and optional secondary) (delete-region start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6605 nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6606 (if vhdl-argument-list-indent
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6607 (setq margin (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6608 (setq margin (+ (current-indentation) vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6609 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6610 (indent-to margin))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6611 (if (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6612 (concat (and optional "[") "formal" (and optional "]"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6613 " => " (or (not secondary) optional)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6614 (and (not secondary) start) (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6615 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6616 (vhdl-template-field "actual" ",")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6617 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6618 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6619 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6620 (while (vhdl-template-field "[formal]" " => " t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6621 (vhdl-template-field "actual" ",")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6622 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6623 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6624 (indent-to margin))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6625 (delete-region end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6626 (backward-delete-char 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6627 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6628 (when vhdl-auto-align (vhdl-align-noindent-region start (point) 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6629 t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6630 (when (and optional secondary) (delete-region start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6631 nil))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6632
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6633 (defun vhdl-template-modify (&optional noerror)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6634 "Actualize modification date."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6635 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6636 (let ((case-fold-search t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6637 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6638 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6639 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6640 (if (re-search-forward vhdl-modify-date-prefix-string nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6641 (progn (kill-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6642 (vhdl-template-insert-date))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6643 (unless noerror
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6644 (error (concat "Modification date prefix string \""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6645 vhdl-modify-date-prefix-string "\" not found"))))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6646
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6647 (defun vhdl-template-modify-noerror ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6648 "Call `vhdl-template-modify' with NOERROR non-nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6649 (vhdl-template-modify t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6650
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6651 (defun vhdl-template-nature ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6652 "Insert a nature declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6653 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6654 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6655 name mid-pos end-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6656 (vhdl-insert-keyword "NATURE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6657 (when (setq name (vhdl-template-field "name" nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6658 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6659 (let ((definition
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6660 (upcase
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6661 (or (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6662 "across type | ARRAY | RECORD")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6663 ""))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6664 (cond ((equal definition "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6665 (insert ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6666 ((equal definition "ARRAY")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6667 (kill-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6668 (vhdl-template-array 'nature t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6669 ((equal definition "RECORD")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6670 (setq mid-pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6671 (kill-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6672 (vhdl-template-record 'nature name t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6673 (t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6674 (vhdl-insert-keyword " ACROSS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6675 (vhdl-template-field "through type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6676 (vhdl-insert-keyword " THROUGH ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6677 (vhdl-template-field "reference name")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6678 (vhdl-insert-keyword " REFERENCE;")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6679 (when mid-pos
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6680 (setq end-pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6681 (goto-char mid-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6682 (end-of-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6683 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6684 (when end-pos (goto-char end-pos))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6685
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6686 (defun vhdl-template-next ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6687 "Insert a next statement."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6688 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6689 (vhdl-insert-keyword "NEXT ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6690 (unless (vhdl-template-field "[loop label]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6691 (delete-char -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6692 (let ((position (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6693 (vhdl-insert-keyword " WHEN ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6694 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6695 (if (vhdl-template-field "[condition]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6696 (when vhdl-conditions-in-parenthesis (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6697 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6698 (insert ";")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6699
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6700 (defun vhdl-template-others ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6701 "Insert an others aggregate."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6702 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6703 (vhdl-insert-keyword "(OTHERS => '')")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6704 (backward-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6705
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6706 (defun vhdl-template-package (&optional kind)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6707 "Insert a package specification or body."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6708 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6709 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6710 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6711 name body position)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6712 (vhdl-insert-keyword "PACKAGE ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6713 (setq body (if kind (eq kind 'body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6714 (eq (vhdl-decision-query nil "(d)eclaration or (b)ody?") ?b)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6715 (when body (vhdl-insert-keyword "BODY "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6716 (when (setq name (vhdl-template-field "name" nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6717 (vhdl-insert-keyword " IS\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6718 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6719 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6720 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6721 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6722 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6723 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6724 (vhdl-insert-keyword "END ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6725 (unless (vhdl-standard-p '87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6726 (vhdl-insert-keyword (concat "PACKAGE " (and body "BODY "))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6727 (insert (or name "") ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6728 (goto-char position))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6729
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6730 (defun vhdl-template-package-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6731 "Insert a package specification."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6732 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6733 (vhdl-template-package 'decl))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6734
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6735 (defun vhdl-template-package-body ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6736 "Insert a package body."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6737 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6738 (vhdl-template-package 'body))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6739
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6740 (defun vhdl-template-port ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6741 "Insert a port declaration, or port map in instantiation statements."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6742 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6743 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6744 (case-fold-search t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6745 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6746 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6747 ((and (save-excursion ; entity declaration
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6748 (re-search-backward "^\\(entity\\|end\\)\\>" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6749 (equal "ENTITY" (upcase (match-string 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6750 (vhdl-template-port-list nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6751 ((or (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6752 (or (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6753 (looking-at "^\\s-*\\w+\\s-*:\\s-*\\w+")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6754 (equal 'statement-cont (car (car (vhdl-get-syntactic-context)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6755 (vhdl-insert-keyword "PORT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6756 (vhdl-template-map start))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6757 (t (vhdl-template-port-list nil))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6758
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6759 (defun vhdl-template-procedural ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6760 "Insert a procedural."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6761 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6762 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6763 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6764 (case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6765 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6766 (vhdl-insert-keyword "PROCEDURAL ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6767 (when (memq vhdl-optional-labels '(process all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6768 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6769 (insert ": ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6770 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6771 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6772 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6773 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6774 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6775 (unless (vhdl-standard-p '87) (vhdl-insert-keyword "IS"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6776 (vhdl-template-begin-end "PROCEDURAL" label margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6777 (vhdl-comment-block)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6778
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6779 (defun vhdl-template-procedure (&optional kind)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6780 "Insert a procedure declaration or body."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6781 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6782 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6783 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6784 name)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6785 (vhdl-insert-keyword "PROCEDURE ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6786 (when (setq name (vhdl-template-field "name" nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6787 (vhdl-template-argument-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6788 (if (if kind (eq kind 'body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6789 (eq (vhdl-decision-query nil "(d)eclaration or (b)ody?") ?b))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6790 (progn (vhdl-insert-keyword " IS")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6791 (when vhdl-auto-align
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6792 (vhdl-align-noindent-region start (point) 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6793 (end-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6794 (vhdl-template-begin-end
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6795 (unless (vhdl-standard-p '87) "PROCEDURE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6796 name margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6797 (vhdl-comment-block))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6798 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6799 (when vhdl-auto-align (vhdl-align-noindent-region start (point) 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6800 (end-of-line)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6801
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6802 (defun vhdl-template-procedure-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6803 "Insert a procedure declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6804 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6805 (vhdl-template-procedure 'decl))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6806
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6807 (defun vhdl-template-procedure-body ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6808 "Insert a procedure body."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6809 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6810 (vhdl-template-procedure 'body))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6811
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6812 (defun vhdl-template-process (&optional kind)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6813 "Insert a process."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6814 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6815 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6816 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6817 (case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6818 label seq input-signals clock reset final-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6819 (setq seq (if kind (eq kind 'seq)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6820 (eq (vhdl-decision-query
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6821 "process" "(c)ombinational or (s)equential?" t) ?s)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6822 (vhdl-insert-keyword "PROCESS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6823 (when (memq vhdl-optional-labels '(process all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6824 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6825 (insert ": ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6826 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6827 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6828 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6829 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6830 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6831 (insert "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6832 (if (not seq)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6833 (unless (setq input-signals
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6834 (vhdl-template-field "[sensitivity list]" ")" t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6835 (setq input-signals "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6836 (delete-char -2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6837 (setq clock (or (and (not (equal "" vhdl-clock-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6838 (progn (insert vhdl-clock-name) vhdl-clock-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6839 (vhdl-template-field "clock name") "<clock>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6840 (when (eq vhdl-reset-kind 'async)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6841 (insert ", ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6842 (setq reset (or (and (not (equal "" vhdl-reset-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6843 (progn (insert vhdl-reset-name) vhdl-reset-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6844 (vhdl-template-field "reset name") "<reset>")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6845 (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6846 (unless (vhdl-standard-p '87) (vhdl-insert-keyword " IS"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6847 (vhdl-template-begin-end "PROCESS" label margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6848 (when seq (setq reset (vhdl-template-seq-process clock reset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6849 (when vhdl-prompt-for-comments
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6850 (setq final-pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6851 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6852 (when (and (re-search-backward "\\<begin\\>" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6853 (re-search-backward "\\<process\\>" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6854 (end-of-line -0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6855 (if (bobp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6856 (progn (insert "\n") (forward-line -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6857 (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6858 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6859 (insert "-- purpose: ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6860 (if (not (vhdl-template-field "[description]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6861 (vhdl-line-kill-entire)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6862 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6863 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6864 (insert "-- type : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6865 (insert (if seq "sequential" "combinational") "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6866 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6867 (insert "-- inputs : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6868 (if (not seq)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6869 (insert input-signals)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6870 (insert clock ", ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6871 (when reset (insert reset ", "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6872 (unless (vhdl-template-field "[signal names]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6873 (delete-char -2)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6874 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6875 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6876 (insert "-- outputs: ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6877 (vhdl-template-field "[signal names]" nil t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6878 (goto-char final-pos))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6879
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6880 (defun vhdl-template-process-comb ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6881 "Insert a combinational process."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6882 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6883 (vhdl-template-process 'comb))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6884
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6885 (defun vhdl-template-process-seq ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6886 "Insert a sequential process."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6887 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6888 (vhdl-template-process 'seq))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6889
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6890 (defun vhdl-template-quantity ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6891 "Insert a quantity declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6892 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6893 (if (vhdl-in-argument-list-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6894 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6895 (vhdl-insert-keyword "QUANTITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6896 (when (vhdl-template-field "names" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6897 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6898 (vhdl-template-field "[IN | OUT]" " " t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6899 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6900 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6901 (vhdl-comment-insert-inline)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6902 (let ((char (vhdl-decision-query
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6903 "quantity" "(f)ree, (b)ranch, or (s)ource quantity?" t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6904 (cond ((eq char ?f) (vhdl-template-quantity-free))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6905 ((eq char ?b) (vhdl-template-quantity-branch))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6906 ((eq char ?s) (vhdl-template-quantity-source))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6907 (t (vhdl-template-undo (point) (point)))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6908
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6909 (defun vhdl-template-quantity-free ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6910 "Insert a free quantity declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6911 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6912 (vhdl-insert-keyword "QUANTITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6913 (vhdl-template-field "names")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6914 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6915 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6916 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6917 (insert " := ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6918 (unless (vhdl-template-field "[initialization]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6919 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6920 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6921 (vhdl-comment-insert-inline)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6922
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6923 (defun vhdl-template-quantity-branch ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6924 "Insert a branch quantity declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6925 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6926 (let (position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6927 (vhdl-insert-keyword "QUANTITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6928 (when (vhdl-template-field "[across names]" " " t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6929 (vhdl-insert-keyword "ACROSS "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6930 (when (vhdl-template-field "[through names]" " " t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6931 (vhdl-insert-keyword "THROUGH "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6932 (vhdl-template-field "plus terminal name")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6933 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6934 (vhdl-insert-keyword " TO ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6935 (unless (vhdl-template-field "[minus terminal name]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6936 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6937 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6938 (vhdl-comment-insert-inline)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6939
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6940 (defun vhdl-template-quantity-source ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6941 "Insert a source quantity declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6942 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6943 (vhdl-insert-keyword "QUANTITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6944 (vhdl-template-field "names")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6945 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6946 (vhdl-template-field "type" " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6947 (if (eq (vhdl-decision-query nil "(s)pectrum or (n)oise?") ?n)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6948 (progn (vhdl-insert-keyword "NOISE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6949 (vhdl-template-field "power expression"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6950 (vhdl-insert-keyword "SPECTRUM ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6951 (vhdl-template-field "magnitude expression" ", ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6952 (vhdl-template-field "phase expression"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6953 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6954 (vhdl-comment-insert-inline))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6955
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6956 (defun vhdl-template-record (kind &optional name secondary)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6957 "Insert a record type declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6958 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6959 (let ((margin (current-column))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6960 (start (point))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6961 (first t))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6962 (vhdl-insert-keyword "RECORD\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6963 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6964 (when (or (vhdl-template-field "element names"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6965 nil (not secondary) start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6966 secondary)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6967 (while (or first (vhdl-template-field "[element names]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6968 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6969 (vhdl-template-field (if (eq kind 'type) "type" "nature") ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6970 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6971 (insert "\n")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6972 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6973 (setq first nil))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6974 (kill-line -0)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6975 (indent-to margin)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6976 (vhdl-insert-keyword "END RECORD")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6977 (unless (vhdl-standard-p '87) (and name (insert " " name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6978 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6979 (when vhdl-auto-align (vhdl-align-noindent-region start (point) 1)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6980
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6981 (defun vhdl-template-report ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6982 "Insert a report statement."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6983 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6984 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6985 (vhdl-insert-keyword "REPORT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6986 (if (equal "\"\"" (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6987 "string expression" nil t start (point) t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6988 (backward-delete-char 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6989 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6990 (vhdl-insert-keyword " SEVERITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6991 (unless (vhdl-template-field "[NOTE | WARNING | ERROR | FAILURE]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6992 (delete-region start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6993 (insert ";"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6994
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6995 (defun vhdl-template-return ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6996 "Insert a return statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6997 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
6998 (vhdl-insert-keyword "RETURN ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
6999 (unless (vhdl-template-field "[expression]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7000 (delete-char -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7001 (insert ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7002
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7003 (defun vhdl-template-selected-signal-asst ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7004 "Insert a selected signal assignment."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7005 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7006 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7007 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7008 (choices t))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7009 (let ((position (point)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7010 (vhdl-insert-keyword " SELECT ")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7011 (goto-char position))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7012 (vhdl-insert-keyword "WITH ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7013 (when (vhdl-template-field "selector expression"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7014 nil t start (+ (point) 7))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7015 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7016 (delete-char 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7017 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7018 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7019 (vhdl-template-field "target signal" " <= ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7020 ; (vhdl-template-field "[GUARDED] [TRANSPORT]")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7021 (insert "\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7022 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7023 (vhdl-template-field "waveform")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7024 (vhdl-insert-keyword " WHEN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7025 (vhdl-template-field "choices" ",")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7026 (insert "\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7027 (indent-to (+ margin vhdl-basic-offset))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7028 (while (and choices (vhdl-template-field "[waveform]" nil t))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7029 (vhdl-insert-keyword " WHEN ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7030 (if (setq choices (vhdl-template-field "[choices]" "," t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7031 (progn (insert "\n") (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7032 (vhdl-insert-keyword "OTHERS")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7033 (when choices
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7034 (fixup-whitespace)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7035 (delete-char -2))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7036 (insert ";")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7037 (when vhdl-auto-align (vhdl-align-noindent-region start (point) 1)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7038
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7039 (defun vhdl-template-signal ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7040 "Insert a signal declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7041 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7042 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7043 (in-arglist (vhdl-in-argument-list-p)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7044 (vhdl-insert-keyword "SIGNAL ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7045 (when (vhdl-template-field "names" nil t start (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7046 (insert " : ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7047 (when in-arglist (vhdl-template-field "[IN | OUT | INOUT]" " " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7048 (vhdl-template-field "type")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7049 (if in-arglist
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7050 (progn (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7051 (vhdl-comment-insert-inline))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7052 (let ((position (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7053 (insert " := ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7054 (unless (vhdl-template-field "[initialization]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7055 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7056 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7057 (vhdl-comment-insert-inline))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7058
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7059 (defun vhdl-template-subnature ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7060 "Insert a subnature declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7061 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7062 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7063 position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7064 (vhdl-insert-keyword "SUBNATURE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7065 (when (vhdl-template-field "name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7066 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7067 (vhdl-template-field "nature" " (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7068 (if (vhdl-template-field "[index range]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7069 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7070 (delete-char -2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7071 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7072 (vhdl-insert-keyword " TOLERANCE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7073 (if (equal "\"\"" (vhdl-template-field "[string expression]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7074 nil t nil nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7075 (delete-region position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7076 (vhdl-insert-keyword " ACROSS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7077 (vhdl-template-field "string expression" nil nil nil nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7078 (vhdl-insert-keyword " THROUGH"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7079 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7080 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7081
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7082 (defun vhdl-template-subprogram-body ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7083 "Insert a subprogram body."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7084 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7085 (if (eq (vhdl-decision-query nil "(p)rocedure or (f)unction?" t) ?f)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7086 (vhdl-template-function-body)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7087 (vhdl-template-procedure-body)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7088
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7089 (defun vhdl-template-subprogram-decl ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7090 "Insert a subprogram declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7091 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7092 (if (eq (vhdl-decision-query nil "(p)rocedure or (f)unction?" t) ?f)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7093 (vhdl-template-function-decl)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7094 (vhdl-template-procedure-decl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7095
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7096 (defun vhdl-template-subtype ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7097 "Insert a subtype declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7098 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7099 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7100 (vhdl-insert-keyword "SUBTYPE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7101 (when (vhdl-template-field "name" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7102 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7103 (vhdl-template-field "type" " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7104 (unless
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7105 (vhdl-template-field "[RANGE value range | ( index range )]" nil t)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7106 (delete-char -1))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7107 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7108 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7109
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7110 (defun vhdl-template-terminal ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7111 "Insert a terminal declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7112 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7113 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7114 (vhdl-insert-keyword "TERMINAL ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7115 (when (vhdl-template-field "names" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7116 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7117 (vhdl-template-field "nature")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7118 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7119 (vhdl-comment-insert-inline))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7120
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7121 (defun vhdl-template-type ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7122 "Insert a type declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7123 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7124 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7125 name mid-pos end-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7126 (vhdl-insert-keyword "TYPE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7127 (when (setq name (vhdl-template-field "name" nil t start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7128 (vhdl-insert-keyword " IS ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7129 (let ((definition
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7130 (upcase
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7131 (or (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7132 "[scalar type | ARRAY | RECORD | ACCESS | FILE]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7133 ""))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7134 (cond ((equal definition "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7135 (backward-delete-char 4)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7136 (insert ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7137 ((equal definition "ARRAY")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7138 (kill-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7139 (vhdl-template-array 'type t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7140 ((equal definition "RECORD")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7141 (setq mid-pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7142 (kill-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7143 (vhdl-template-record 'type name t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7144 ((equal definition "ACCESS")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7145 (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7146 (vhdl-template-field "type" ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7147 ((equal definition "FILE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7148 (vhdl-insert-keyword " OF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7149 (vhdl-template-field "type" ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7150 (t (insert ";")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7151 (when mid-pos
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7152 (setq end-pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7153 (goto-char mid-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7154 (end-of-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7155 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7156 (when end-pos (goto-char end-pos))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7157
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7158 (defun vhdl-template-use ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7159 "Insert a use clause."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7160 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7161 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7162 (case-fold-search t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7163 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7164 (vhdl-insert-keyword "USE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7165 (when (save-excursion (beginning-of-line) (looking-at "^\\s-*use\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7166 (vhdl-insert-keyword "..ALL;")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7167 (backward-char 6)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7168 (when (vhdl-template-field "library name" nil t start (+ (point) 6))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7169 (forward-char 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7170 (vhdl-template-field "package name")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7171 (forward-char 5))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7172
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7173 (defun vhdl-template-variable ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7174 "Insert a variable declaration."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7175 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7176 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7177 (case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7178 (in-arglist (vhdl-in-argument-list-p)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7179 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7180 (if (or (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7181 (and (re-search-backward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7182 "\\<function\\|procedure\\|process\\|procedural\\|end\\>"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7183 nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7184 (not (progn (backward-word 1) (looking-at "\\<end\\>")))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7185 (save-excursion (backward-word 1) (looking-at "\\<shared\\>")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7186 (vhdl-insert-keyword "VARIABLE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7187 (vhdl-insert-keyword "SHARED VARIABLE ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7188 (when (vhdl-template-field "names" nil t start (point))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7189 (insert " : ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7190 (when in-arglist (vhdl-template-field "[IN | OUT | INOUT]" " " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7191 (vhdl-template-field "type")
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7192 (if in-arglist
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7193 (progn (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7194 (vhdl-comment-insert-inline))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7195 (let ((position (point)))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7196 (insert " := ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7197 (unless (vhdl-template-field "[initialization]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7198 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7199 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7200 (vhdl-comment-insert-inline))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7201
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7202 (defun vhdl-template-wait ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7203 "Insert a wait statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7204 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7205 (vhdl-insert-keyword "WAIT ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7206 (unless (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7207 "[ON sensitivity list] [UNTIL condition] [FOR time expression]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7208 nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7209 (delete-char -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7210 (insert ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7211
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7212 (defun vhdl-template-when ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7213 "Indent correctly if within a case statement."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7214 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7215 (let ((position (point))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7216 (case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7217 margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7218 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7219 (if (and (= (current-column) (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7220 (re-search-forward "\\<end\\>" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7221 (looking-at "\\s-*\\<case\\>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7222 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7223 (setq margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7224 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7225 (delete-horizontal-space)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7226 (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7227 (goto-char position)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7228 (vhdl-insert-keyword "WHEN ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7229
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7230 (defun vhdl-template-while-loop ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7231 "Insert a while loop."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7232 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7233 (let* ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7234 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7235 label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7236 (if (not (eq vhdl-optional-labels 'all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7237 (vhdl-insert-keyword "WHILE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7238 (vhdl-insert-keyword ": WHILE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7239 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7240 (setq label (vhdl-template-field "[label]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7241 (unless label (delete-char 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7242 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7243 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7244 (when vhdl-conditions-in-parenthesis (insert "("))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7245 (when (vhdl-template-field "condition" nil t start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7246 (when vhdl-conditions-in-parenthesis (insert ")"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7247 (vhdl-insert-keyword " LOOP\n\n")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7248 (indent-to margin)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7249 (vhdl-insert-keyword "END LOOP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7250 (insert (if label (concat " " label ";") ";"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7251 (forward-line -1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7252 (indent-to (+ margin vhdl-basic-offset)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7253
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7254 (defun vhdl-template-with ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7255 "Insert a with statement (i.e. selected signal assignment)."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7256 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7257 (let ((case-fold-search t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7258 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7259 (if (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7260 (re-search-backward "\\(\\<limit\\>\\|;\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7261 (equal ";" (match-string 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7262 (vhdl-template-selected-signal-asst)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7263 (vhdl-insert-keyword "WITH ")))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7264
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7265 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7266 ;; Special templates
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7267
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7268 (defun vhdl-template-clocked-wait ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7269 "Insert a wait statement for rising/falling clock edge."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7270 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7271 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7272 clock)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7273 (vhdl-insert-keyword "WAIT UNTIL ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7274 (when (setq clock
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7275 (or (and (not (equal "" vhdl-clock-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7276 (progn (insert vhdl-clock-name) vhdl-clock-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7277 (vhdl-template-field "clock name" nil t start (point))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7278 (insert "'event")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7279 (vhdl-insert-keyword " AND ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7280 (insert clock)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7281 (insert
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7282 " = " (if vhdl-clock-rising-edge vhdl-one-string vhdl-zero-string) ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7283 (vhdl-comment-insert-inline
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7284 (concat (if vhdl-clock-rising-edge "rising" "falling")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7285 " clock edge")))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7286
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7287 (defun vhdl-template-seq-process (clock reset)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7288 "Insert a template for the body of a sequential process."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7289 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7290 position)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7291 (vhdl-insert-keyword "IF ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7292 (when (eq vhdl-reset-kind 'async)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7293 (insert reset " = "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7294 (if vhdl-reset-active-high vhdl-one-string vhdl-zero-string))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7295 (vhdl-insert-keyword " THEN")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7296 (vhdl-comment-insert-inline
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7297 (concat "asynchronous reset (active "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7298 (if vhdl-reset-active-high "high" "low") ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7299 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7300 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7301 (insert "\n") (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7302 (vhdl-insert-keyword "ELSIF "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7303 (if (eq vhdl-clock-edge-condition 'function)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7304 (insert (if vhdl-clock-rising-edge "rising" "falling")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7305 "_edge(" clock ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7306 (insert clock "'event")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7307 (vhdl-insert-keyword " AND ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7308 (insert clock " = "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7309 (if vhdl-clock-rising-edge vhdl-one-string vhdl-zero-string)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7310 (vhdl-insert-keyword " THEN")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7311 (vhdl-comment-insert-inline
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7312 (concat (if vhdl-clock-rising-edge "rising" "falling") " clock edge"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7313 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7314 (when (eq vhdl-reset-kind 'sync)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7315 (vhdl-insert-keyword "IF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7316 (setq reset (or (and (not (equal "" vhdl-reset-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7317 (progn (insert vhdl-reset-name) vhdl-reset-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7318 (vhdl-template-field "reset name") "<reset>"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7319 (insert " = "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7320 (if vhdl-reset-active-high vhdl-one-string vhdl-zero-string))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7321 (vhdl-insert-keyword " THEN")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7322 (vhdl-comment-insert-inline
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7323 (concat "synchronous reset (active "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7324 (if vhdl-reset-active-high "high" "low") ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7325 (insert "\n") (indent-to (+ margin (* 2 vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7326 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7327 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7328 (vhdl-insert-keyword "ELSE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7329 (insert "\n") (indent-to (+ margin (* 2 vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7330 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7331 (vhdl-insert-keyword "END IF;"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7332 (when (eq vhdl-reset-kind 'none)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7333 (setq position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7334 (insert "\n") (indent-to margin)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7335 (vhdl-insert-keyword "END IF;")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7336 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7337 reset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7338
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7339 (defun vhdl-template-standard-package (library package)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7340 "Insert specification of a standard package. Include a library
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7341 specification, if not already there."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7342 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7343 (case-fold-search t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7344 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7345 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7346 (and (not (bobp))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7347 (re-search-backward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7348 (concat "^\\s-*\\(library\\s-+\\(\\(\\w\\|\\s_\\)+,\\s-+\\)*"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7349 library "\\|end\\)\\>") nil t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7350 (unless (and (match-string 1) (string-match "library" (match-string 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7351 (vhdl-insert-keyword "LIBRARY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7352 (insert library ";\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7353 (indent-to margin))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7354 (vhdl-insert-keyword "USE ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7355 (insert library "." package)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7356 (vhdl-insert-keyword ".ALL;")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7357
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7358 (defun vhdl-template-package-math-complex ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7359 "Insert specification of `math_complex' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7360 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7361 (vhdl-template-standard-package "ieee" "math_complex"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7362
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7363 (defun vhdl-template-package-math-real ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7364 "Insert specification of `math_real' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7365 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7366 (vhdl-template-standard-package "ieee" "math_real"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7367
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7368 (defun vhdl-template-package-numeric-bit ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7369 "Insert specification of `numeric_bit' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7370 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7371 (vhdl-template-standard-package "ieee" "numeric_bit"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7372
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7373 (defun vhdl-template-package-numeric-std ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7374 "Insert specification of `numeric_std' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7375 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7376 (vhdl-template-standard-package "ieee" "numeric_std"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7377
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7378 (defun vhdl-template-package-std-logic-1164 ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7379 "Insert specification of `std_logic_1164' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7380 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7381 (vhdl-template-standard-package "ieee" "std_logic_1164"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7382
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7383 (defun vhdl-template-package-std-logic-arith ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7384 "Insert specification of `std_logic_arith' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7385 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7386 (vhdl-template-standard-package "ieee" "std_logic_arith"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7387
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7388 (defun vhdl-template-package-std-logic-misc ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7389 "Insert specification of `std_logic_misc' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7390 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7391 (vhdl-template-standard-package "ieee" "std_logic_misc"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7392
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7393 (defun vhdl-template-package-std-logic-signed ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7394 "Insert specification of `std_logic_signed' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7395 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7396 (vhdl-template-standard-package "ieee" "std_logic_signed"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7397
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7398 (defun vhdl-template-package-std-logic-textio ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7399 "Insert specification of `std_logic_textio' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7400 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7401 (vhdl-template-standard-package "ieee" "std_logic_textio"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7402
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7403 (defun vhdl-template-package-std-logic-unsigned ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7404 "Insert specification of `std_logic_unsigned' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7405 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7406 (vhdl-template-standard-package "ieee" "std_logic_unsigned"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7407
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7408 (defun vhdl-template-package-textio ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7409 "Insert specification of `textio' package."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7410 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7411 (vhdl-template-standard-package "std" "textio"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7412
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7413 (defun vhdl-template-directive (directive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7414 "Insert directive."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7415 (unless (= (current-indentation) (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7416 (delete-horizontal-space)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7417 (insert " "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7418 (insert "-- pragma " directive))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7419
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7420 (defun vhdl-template-directive-translate-on ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7421 "Insert directive 'translate_on'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7422 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7423 (vhdl-template-directive "translate_on"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7424
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7425 (defun vhdl-template-directive-translate-off ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7426 "Insert directive 'translate_off'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7427 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7428 (vhdl-template-directive "translate_off"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7429
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7430 (defun vhdl-template-directive-synthesis-on ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7431 "Insert directive 'synthesis_on'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7432 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7433 (vhdl-template-directive "synthesis_on"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7434
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7435 (defun vhdl-template-directive-synthesis-off ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7436 "Insert directive 'synthesis_off'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7437 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7438 (vhdl-template-directive "synthesis_off"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7439
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7440 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7441 ;; Comment templates and functions
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7442
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7443 (defun vhdl-comment-indent ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7444 "Indent comments."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7445 (let* ((position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7446 (col
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7447 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7448 (forward-line -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7449 (if (re-search-forward "--" position t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7450 (- (current-column) 2) ; existing comment at bol stays there
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7451 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7452 (skip-chars-backward " \t")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7453 (max comment-column ; else indent to comment column
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7454 (1+ (current-column))))))) ; except leave at least one space
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7455 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7456 col))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7457
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7458 (defun vhdl-comment-insert ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7459 "Start a comment at the end of the line.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7460 If on line with code, indent at least `comment-column'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7461 If starting after end-comment-column, start a new line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7462 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7463 (when (> (current-column) end-comment-column) (newline-and-indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7464 (if (or (looking-at "\\s-*$") ; end of line
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7465 (and (not unread-command-events) ; called with key binding or menu
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7466 (not (end-of-line))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7467 (let (margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7468 (while (= (preceding-char) ?-) (delete-char -1))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7469 (setq margin (current-column))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7470 (delete-horizontal-space)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7471 (if (bolp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7472 (progn (indent-to margin) (insert "--"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7473 (insert " ")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7474 (indent-to comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7475 (insert "--"))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7476 (if (not unread-command-events) (insert " ")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7477 ;; else code following current point implies commenting out code
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7478 (let (next-input code)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7479 (while (= (preceding-char) ?-) (delete-char -2))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7480 (while (= (setq next-input (read-char)) 13) ; CR
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7481 (insert "--") ; or have a space after it?
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7482 (forward-char -2)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7483 (forward-line 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7484 (message "Enter CR if commenting out a line of code.")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7485 (setq code t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7486 (when (not code)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7487 (insert "--")) ; hardwire to 1 space or use vhdl-basic-offset?
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7488 (setq unread-command-events
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7489 (list (vhdl-character-to-event next-input)))))) ; pushback the char
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7490
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7491 (defun vhdl-comment-display (&optional line-exists)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7492 "Add 2 comment lines at the current indent, making a display comment."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7493 (interactive)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7494 (let ((margin (current-indentation)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7495 (when (not line-exists) (vhdl-comment-display-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7496 (insert "\n") (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7497 (insert "\n") (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7498 (vhdl-comment-display-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7499 (end-of-line -0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7500 (insert "-- ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7501
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7502 (defun vhdl-comment-display-line ()
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7503 "Displays one line of dashes."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7504 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7505 (while (= (preceding-char) ?-) (delete-char -2))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7506 (let* ((col (current-column))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7507 (len (- end-comment-column col)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7508 (insert-char ?- len)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7509
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7510 (defun vhdl-comment-append-inline ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7511 "Append empty inline comment to current line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7512 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7513 (end-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7514 (delete-horizontal-space)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7515 (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7516 (indent-to comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7517 (insert "-- "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7518
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7519 (defun vhdl-comment-insert-inline (&optional string always-insert)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7520 "Insert inline comment."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7521 (when (or (and string (or vhdl-self-insert-comments always-insert))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7522 (and (not string) vhdl-prompt-for-comments))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7523 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7524 (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7525 (indent-to comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7526 (insert "-- ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7527 (if (or (and string (progn (insert string) t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7528 (vhdl-template-field "[comment]" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7529 (when (> (current-column) end-comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7530 (setq position (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7531 (re-search-backward "-- ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7532 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7533 (indent-to comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7534 (goto-char position))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7535 (delete-region position (point))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7536
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7537 (defun vhdl-comment-block ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7538 "Insert comment for code block."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7539 (when vhdl-prompt-for-comments
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7540 (let ((final-pos (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7541 (case-fold-search t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7542 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7543 (when (and (re-search-backward "^\\s-*begin\\>" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7544 (re-search-backward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7545 "\\<\\(architecture\\|block\\|function\\|procedure\\|process\\|procedural\\)\\>"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7546 nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7547 (let (margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7548 (back-to-indentation)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7549 (setq margin (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7550 (end-of-line -0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7551 (if (bobp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7552 (progn (insert "\n") (forward-line -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7553 (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7554 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7555 (insert "-- purpose: ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7556 (unless (vhdl-template-field "[description]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7557 (vhdl-line-kill-entire)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7558 (goto-char final-pos))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7559
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7560 (defun vhdl-comment-uncomment-region (beg end &optional arg)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7561 "Comment out region if not commented out, uncomment otherwise."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7562 (interactive "r\nP")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7563 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7564 (goto-char (1- end))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7565 (end-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7566 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7567 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7568 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7569 (setq beg (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7570 (if (looking-at comment-start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7571 (comment-region beg end -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7572 (comment-region beg end))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7573
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7574 (defun vhdl-comment-uncomment-line (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7575 "Comment out line if not commented out, uncomment otherwise."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7576 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7577 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7578 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7579 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7580 (forward-line (or arg 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7581 (vhdl-comment-uncomment-region position (point)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7582
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7583 (defun vhdl-comment-kill-region (beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7584 "Kill comments in region."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7585 (interactive "r")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7586 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7587 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7588 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7589 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7590 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7591 (while (< (point) end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7592 (if (looking-at "^\\(\\s-*--.*\n\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7593 (progn (delete-region (match-beginning 1) (match-end 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7594 (beginning-of-line 2)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7595
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7596 (defun vhdl-comment-kill-inline-region (beg end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7597 "Kill inline comments in region."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7598 (interactive "r")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7599 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7600 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7601 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7602 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7603 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7604 (while (< (point) end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7605 (when (looking-at "^.*[^ \t\n-]+\\(\\s-*--.*\\)$")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7606 (delete-region (match-beginning 1) (match-end 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7607 (beginning-of-line 2))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7608
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7609 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7610 ;; Subtemplates
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7611
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7612 (defun vhdl-template-begin-end (construct name margin &optional empty-lines)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7613 "Insert a begin ... end pair with optional name after the end.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7614 Point is left between them."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7615 (let (position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7616 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7617 (when (or empty-lines (eq vhdl-insert-empty-lines 'all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7618 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7619 (vhdl-insert-keyword "BEGIN")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7620 (when (and (or construct name) vhdl-self-insert-comments)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7621 (insert " --")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7622 (when construct (insert " ") (vhdl-insert-keyword construct))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7623 (when name (insert " " name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7624 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7625 (when (or empty-lines (eq vhdl-insert-empty-lines 'all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7626 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7627 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7628 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7629 (when (or empty-lines (eq vhdl-insert-empty-lines 'all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7630 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7631 (vhdl-insert-keyword "END")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7632 (when construct (insert " ") (vhdl-insert-keyword construct))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7633 (insert (if name (concat " " name) "") ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7634 (goto-char position)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7635
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7636 (defun vhdl-template-argument-list (&optional is-function)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7637 "Read from user a procedure or function argument list."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7638 (insert " (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7639 (let ((margin (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7640 (start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7641 (end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7642 not-empty interface semicolon-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7643 (when (not vhdl-argument-list-indent)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7644 (setq margin (+ (current-indentation) vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7645 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7646 (indent-to margin))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7647 (setq interface (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7648 (concat "[CONSTANT | SIGNAL"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7649 (unless is-function " | VARIABLE") "]") " " t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7650 (while (vhdl-template-field "[names]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7651 (setq not-empty t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7652 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7653 (when (not is-function)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7654 (if (and interface (equal (upcase interface) "CONSTANT"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7655 (vhdl-insert-keyword "IN ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7656 (vhdl-template-field "[IN | OUT | INOUT]" " " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7657 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7658 (setq semicolon-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7659 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7660 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7661 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7662 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7663 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7664 (setq interface (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7665 (concat "[CONSTANT | SIGNAL"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7666 (unless is-function " | VARIABLE") "]") " " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7667 (delete-region end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7668 (when semicolon-pos (goto-char semicolon-pos))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7669 (if not-empty
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7670 (progn (delete-char 1) (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7671 (backward-delete-char 2))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7672
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7673 (defun vhdl-template-generic-list (optional &optional no-value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7674 "Read from user a generic spec argument list."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7675 (let (margin
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7676 (start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7677 (vhdl-insert-keyword "GENERIC (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7678 (setq margin (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7679 (when (not vhdl-argument-list-indent)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7680 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7681 (back-to-indentation)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7682 (setq margin (+ (current-column) vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7683 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7684 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7685 (indent-to margin)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7686 (let ((vhdl-generics (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7687 (concat (and optional "[") "name"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7688 (and no-value "s") (and optional "]"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7689 nil optional)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7690 (if (not vhdl-generics)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7691 (if optional
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7692 (progn (vhdl-line-kill-entire) (end-of-line -0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7693 (when (not vhdl-argument-list-indent)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7694 (vhdl-line-kill-entire) (end-of-line -0)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7695 (vhdl-template-undo start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7696 nil )
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7697 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7698 (let (semicolon-pos end-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7699 (while vhdl-generics
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7700 (vhdl-template-field "type")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7701 (if no-value
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7702 (progn (setq semicolon-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7703 (insert ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7704 (insert " := ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7705 (unless (vhdl-template-field "[value]" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7706 (delete-char -4))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7707 (setq semicolon-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7708 (insert ";"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7709 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7710 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7711 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7712 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7713 (setq vhdl-generics (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7714 (concat "[name" (and no-value "s") "]")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7715 " : " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7716 (delete-region end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7717 (goto-char semicolon-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7718 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7719 (end-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7720 (when vhdl-auto-align (vhdl-align-noindent-region start (point) 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7721 t)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7722
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7723 (defun vhdl-template-port-list (optional)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7724 "Read from user a port spec argument list."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7725 (let ((start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7726 margin vhdl-ports object)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7727 (vhdl-insert-keyword "PORT (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7728 (setq margin (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7729 (when (not vhdl-argument-list-indent)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7730 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7731 (back-to-indentation)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7732 (setq margin (+ (current-column) vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7733 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7734 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7735 (indent-to margin)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7736 (when (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7737 (setq object (vhdl-template-field "[SIGNAL | TERMINAL | QUANTITY]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7738 " " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7739 (setq vhdl-ports (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7740 (concat (and optional "[") "names" (and optional "]"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7741 nil optional))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7742 (if (not vhdl-ports)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7743 (if optional
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7744 (progn (vhdl-line-kill-entire) (end-of-line -0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7745 (when (not vhdl-argument-list-indent)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7746 (vhdl-line-kill-entire) (end-of-line -0)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7747 (vhdl-template-undo start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7748 nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7749 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7750 (let (semicolon-pos end-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7751 (while vhdl-ports
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7752 (cond ((or (null object) (equal "SIGNAL" (upcase object)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7753 (vhdl-template-field "IN | OUT | INOUT" " "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7754 ((equal "QUANTITY" (upcase object))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7755 (vhdl-template-field "[IN | OUT]" " " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7756 (vhdl-template-field
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7757 (if (and object (equal "TERMINAL" (upcase object)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7758 "nature" "type"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7759 (setq semicolon-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7760 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7761 (vhdl-comment-insert-inline)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7762 (setq end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7763 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7764 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7765 (when (vhdl-standard-p 'ams)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7766 (setq object (vhdl-template-field "[SIGNAL | TERMINAL | QUANTITY]"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7767 " " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7768 (setq vhdl-ports (vhdl-template-field "[names]" " : " t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7769 (delete-region end-pos (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7770 (goto-char semicolon-pos)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7771 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7772 (end-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7773 (when vhdl-auto-align (vhdl-align-noindent-region start end-pos 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7774 t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7775
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7776 (defun vhdl-template-generate-body (margin label)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7777 "Insert body for generate template."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7778 (vhdl-insert-keyword " GENERATE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7779 (if (not (vhdl-standard-p '87))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7780 (vhdl-template-begin-end "GENERATE" label margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7781 (insert "\n\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7782 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7783 (vhdl-insert-keyword "END GENERATE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7784 (insert label ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7785 (end-of-line 0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7786 (indent-to (+ margin vhdl-basic-offset))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7787
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7788 (defun vhdl-template-insert-date ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7789 "Insert date in appropriate format."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7790 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7791 (insert
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7792 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7793 ;; 'american, 'european', 'scientific kept for backward compatibility
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7794 ((eq vhdl-date-format 'american) (format-time-string "%m/%d/%Y" nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7795 ((eq vhdl-date-format 'european) (format-time-string "%d.%m.%Y" nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7796 ((eq vhdl-date-format 'scientific) (format-time-string "%Y/%m/%d" nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7797 (t (format-time-string vhdl-date-format nil)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7798
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7799 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7800 ;; Help functions
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7801
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7802 (defun vhdl-electric-space (count)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7803 "Expand abbreviations and self-insert space(s), do indent-new-comment-line
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7804 if in comment and past end-comment-column."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7805 (interactive "p")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7806 (cond ((vhdl-in-comment-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7807 (self-insert-command count)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7808 (cond ((>= (current-column) (+ 2 end-comment-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7809 (backward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7810 (indent-new-comment-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7811 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7812 (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7813 ((>= (current-column) end-comment-column)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7814 (indent-new-comment-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7815 (t nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7816 ((or (and (>= (preceding-char) ?a) (<= (preceding-char) ?z))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7817 (and (>= (preceding-char) ?A) (<= (preceding-char) ?Z)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7818 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7819 (let ((case-fold-search t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7820 (expand-abbrev)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7821 (self-insert-command count))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7822 (t (self-insert-command count))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7823
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7824 (defun vhdl-template-field (prompt &optional follow-string optional
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7825 begin end is-string default)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7826 "Prompt for string and insert it in buffer with optional FOLLOW-STRING.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7827 If OPTIONAL is nil, the prompt is left if an empty string is inserted. If
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7828 an empty string is inserted, return nil and call `vhdl-template-undo' for
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7829 the region between BEGIN and END. IS-STRING indicates whether a string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7830 with double-quotes is to be inserted. DEFAULT specifies a default string."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7831 (let ((position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7832 string)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7833 (insert "<" prompt ">")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7834 (setq string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7835 (condition-case ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7836 (read-from-minibuffer (concat prompt ": ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7837 (or (and is-string '("\"\"" . 2)) default)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7838 vhdl-minibuffer-local-map)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7839 (quit (if (and optional begin end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7840 (progn (beep) "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7841 (keyboard-quit)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7842 (when (or (not (equal string "")) optional)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7843 (delete-region position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7844 (when (and (equal string "") optional begin end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7845 (vhdl-template-undo begin end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7846 (message "Template aborted"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7847 (when (not (equal string ""))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7848 (insert string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7849 (vhdl-fix-case-region-1 position (point) vhdl-upper-case-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7850 vhdl-keywords-regexp))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7851 (when (or (not (equal string "")) (not optional))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7852 (insert (or follow-string "")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7853 (if (equal string "") nil string)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7854
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7855 (defun vhdl-decision-query (string prompt &optional optional)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7856 "Query a decision from the user."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7857 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7858 (when string (vhdl-insert-keyword (concat string " ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7859 (message prompt)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7860 (let ((char (read-char)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7861 (delete-region start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7862 (if (and optional (eq char ?\r))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7863 (progn (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7864 (unexpand-abbrev)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7865 (throw 'abort "Template aborted"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7866 char))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7867
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7868 (defun vhdl-insert-keyword (keyword)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7869 "Insert KEYWORD and adjust case."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7870 (insert (if vhdl-upper-case-keywords (upcase keyword) (downcase keyword))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7871
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7872 (defun vhdl-case-keyword (keyword)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7873 "Adjust case of KEYWORD."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7874 (if vhdl-upper-case-keywords (upcase keyword) (downcase keyword)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7875
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7876 (defun vhdl-case-word (num)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7877 "Adjust case or following NUM words."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7878 (if vhdl-upper-case-keywords (upcase-word num) (downcase-word num)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7879
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7880 (defun vhdl-minibuffer-tab (&optional prefix-arg)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7881 "If preceeding character is part of a word or a paren then hippie-expand,
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7882 else if right of non whitespace on line then tab-to-tab-stop,
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7883 else indent line in proper way for current major mode (used for word
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7884 completion in VHDL minibuffer)."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7885 (interactive "P")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7886 (cond ((= (char-syntax (preceding-char)) ?w)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7887 (let ((case-fold-search (not vhdl-word-completion-case-sensitive))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7888 (case-replace nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7889 (vhdl-expand-abbrev prefix-arg)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7890 ((or (= (preceding-char) ?\() (= (preceding-char) ?\)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7891 (let ((case-fold-search (not vhdl-word-completion-case-sensitive))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7892 (case-replace nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7893 (vhdl-expand-paren prefix-arg)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7894 ((> (current-column) (current-indentation))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7895 (tab-to-tab-stop))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7896 (t (if (eq indent-line-function 'indent-to-left-margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7897 (insert-tab prefix-arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7898 (if prefix-arg
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7899 (funcall indent-line-function prefix-arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7900 (funcall indent-line-function))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7901
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7902 (defun vhdl-template-search-prompt ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7903 "Search for left out template prompts and query again."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7904 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7905 (let ((case-fold-search t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7906 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7907 (when (or (re-search-forward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7908 (concat "<\\(" vhdl-template-prompt-syntax "\\)>") nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7909 (re-search-backward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7910 (concat "<\\(" vhdl-template-prompt-syntax "\\)>") nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7911 (let ((string (match-string 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7912 (replace-match "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7913 (vhdl-template-field string))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7914
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7915 (defun vhdl-template-undo (begin end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7916 "Undo aborted template by deleting region and unexpanding the keyword."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7917 (cond (vhdl-template-invoked-by-hook
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7918 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7919 (insert " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7920 (delete-region begin end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7921 (unexpand-abbrev))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7922 (t (delete-region begin end))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7923
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7924 (defun vhdl-insert-string-or-file (string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7925 "Insert STRING or file contents if STRING is an existing file name."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7926 (unless (equal string "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7927 (cond ((file-exists-p string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7928 (forward-char (cadr (insert-file-contents string))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7929 (t (insert string)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7930
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7931 (defun vhdl-sequential-statement-p ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7932 "Check if point is within sequential statement part."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7933 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7934 (let ((case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7935 (start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7936 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7937 (set-match-data nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7938 (while (and (re-search-backward "^\\s-*\\(begin\\|end\\(\\s-*\\(case\\|if\\|loop\\)\\)?\\)\\>"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7939 nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7940 (match-string 2)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7941 (and (match-data)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7942 (equal "BEGIN" (upcase (match-string 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7943 (re-search-backward "^\\s-*\\(\\w+\\s-*:\\s-*\\)?\\(\\w+\\s-+\\)?\\(function\\|procedure\\|process\\|procedural\\|end\\)\\>"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7944 nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7945 (not (equal "END" (upcase (match-string 3)))))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7946
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7947 (defun vhdl-in-argument-list-p ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7948 "Check if within an argument list."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7949 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7950 (let ((case-fold-search t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7951 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7952 (or (string-match "arglist"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7953 (format "%s" (car (car (vhdl-get-syntactic-context)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7954 (progn (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7955 (looking-at "^\\s-*\\(generic\\|port\\|\\(\\(impure\\|pure\\)\\s-+\\|\\)function\\|procedure\\)\\>\\s-*\\(\\w+\\s-*\\)?(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7956 ))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7957
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7958 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7959 ;; Abbrev hooks
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7960
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7961 (defun vhdl-hooked-abbrev (func)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7962 "Do function, if syntax says abbrev is a keyword, invoked by hooked abbrev,
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7963 but not if inside a comment or quote)."
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7964 (if (or (vhdl-in-comment-p)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7965 (vhdl-in-string-p)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7966 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7967 (forward-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7968 (and (looking-at "\\<end\\>") (not (looking-at "\\<end;")))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7969 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7970 (insert " ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7971 (unexpand-abbrev)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7972 (delete-char -1))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7973 (if (not vhdl-electric-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7974 (progn
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7975 (insert " ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7976 (unexpand-abbrev)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7977 (backward-word 1)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7978 (vhdl-case-word 1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7979 (delete-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7980 (let ((invoke-char last-command-char)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7981 (abbrev-mode -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7982 (vhdl-template-invoked-by-hook t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7983 (let ((caught (catch 'abort
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7984 (funcall func))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7985 (when (stringp caught) (message caught)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7986 (when (= invoke-char ?-) (setq abbrev-start-location (point)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7987 ;; delete CR which is still in event queue
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7988 (if (string-match "XEmacs" emacs-version)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
7989 (enqueue-eval-event 'delete-char -1)
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7990 (setq unread-command-events ; push back a delete char
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7991 (list (vhdl-character-to-event ?\177))))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7992
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7993 (defun vhdl-template-alias-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7994 (vhdl-hooked-abbrev 'vhdl-template-alias))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7995 (defun vhdl-template-architecture-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7996 (vhdl-hooked-abbrev 'vhdl-template-architecture))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7997 (defun vhdl-template-assert-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7998 (vhdl-hooked-abbrev 'vhdl-template-assert))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
7999 (defun vhdl-template-attribute-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8000 (vhdl-hooked-abbrev 'vhdl-template-attribute))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8001 (defun vhdl-template-block-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8002 (vhdl-hooked-abbrev 'vhdl-template-block))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8003 (defun vhdl-template-break-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8004 (vhdl-hooked-abbrev 'vhdl-template-break))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8005 (defun vhdl-template-case-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8006 (vhdl-hooked-abbrev 'vhdl-template-case))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8007 (defun vhdl-template-component-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8008 (vhdl-hooked-abbrev 'vhdl-template-component))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8009 (defun vhdl-template-instance-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8010 (vhdl-hooked-abbrev 'vhdl-template-instance))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8011 (defun vhdl-template-conditional-signal-asst-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8012 (vhdl-hooked-abbrev 'vhdl-template-conditional-signal-asst))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8013 (defun vhdl-template-configuration-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8014 (vhdl-hooked-abbrev 'vhdl-template-configuration))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8015 (defun vhdl-template-constant-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8016 (vhdl-hooked-abbrev 'vhdl-template-constant))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8017 (defun vhdl-template-disconnect-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8018 (vhdl-hooked-abbrev 'vhdl-template-disconnect))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8019 (defun vhdl-template-display-comment-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8020 (vhdl-hooked-abbrev 'vhdl-comment-display))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8021 (defun vhdl-template-else-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8022 (vhdl-hooked-abbrev 'vhdl-template-else))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8023 (defun vhdl-template-elsif-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8024 (vhdl-hooked-abbrev 'vhdl-template-elsif))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8025 (defun vhdl-template-entity-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8026 (vhdl-hooked-abbrev 'vhdl-template-entity))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8027 (defun vhdl-template-exit-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8028 (vhdl-hooked-abbrev 'vhdl-template-exit))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8029 (defun vhdl-template-file-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8030 (vhdl-hooked-abbrev 'vhdl-template-file))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8031 (defun vhdl-template-for-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8032 (vhdl-hooked-abbrev 'vhdl-template-for))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8033 (defun vhdl-template-function-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8034 (vhdl-hooked-abbrev 'vhdl-template-function))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8035 (defun vhdl-template-generic-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8036 (vhdl-hooked-abbrev 'vhdl-template-generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8037 (defun vhdl-template-group-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8038 (vhdl-hooked-abbrev 'vhdl-template-group))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8039 (defun vhdl-template-library-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8040 (vhdl-hooked-abbrev 'vhdl-template-library))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8041 (defun vhdl-template-limit-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8042 (vhdl-hooked-abbrev 'vhdl-template-limit))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8043 (defun vhdl-template-if-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8044 (vhdl-hooked-abbrev 'vhdl-template-if))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8045 (defun vhdl-template-bare-loop-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8046 (vhdl-hooked-abbrev 'vhdl-template-bare-loop))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8047 (defun vhdl-template-map-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8048 (vhdl-hooked-abbrev 'vhdl-template-map))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8049 (defun vhdl-template-nature-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8050 (vhdl-hooked-abbrev 'vhdl-template-nature))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8051 (defun vhdl-template-next-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8052 (vhdl-hooked-abbrev 'vhdl-template-next))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8053 (defun vhdl-template-package-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8054 (vhdl-hooked-abbrev 'vhdl-template-package))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8055 (defun vhdl-template-port-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8056 (vhdl-hooked-abbrev 'vhdl-template-port))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8057 (defun vhdl-template-procedural-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8058 (vhdl-hooked-abbrev 'vhdl-template-procedural))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8059 (defun vhdl-template-procedure-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8060 (vhdl-hooked-abbrev 'vhdl-template-procedure))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8061 (defun vhdl-template-process-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8062 (vhdl-hooked-abbrev 'vhdl-template-process))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8063 (defun vhdl-template-quantity-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8064 (vhdl-hooked-abbrev 'vhdl-template-quantity))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8065 (defun vhdl-template-report-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8066 (vhdl-hooked-abbrev 'vhdl-template-report))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8067 (defun vhdl-template-return-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8068 (vhdl-hooked-abbrev 'vhdl-template-return))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8069 (defun vhdl-template-selected-signal-asst-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8070 (vhdl-hooked-abbrev 'vhdl-template-selected-signal-asst))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8071 (defun vhdl-template-signal-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8072 (vhdl-hooked-abbrev 'vhdl-template-signal))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8073 (defun vhdl-template-subnature-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8074 (vhdl-hooked-abbrev 'vhdl-template-subnature))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8075 (defun vhdl-template-subtype-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8076 (vhdl-hooked-abbrev 'vhdl-template-subtype))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8077 (defun vhdl-template-terminal-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8078 (vhdl-hooked-abbrev 'vhdl-template-terminal))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8079 (defun vhdl-template-type-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8080 (vhdl-hooked-abbrev 'vhdl-template-type))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8081 (defun vhdl-template-use-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8082 (vhdl-hooked-abbrev 'vhdl-template-use))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8083 (defun vhdl-template-variable-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8084 (vhdl-hooked-abbrev 'vhdl-template-variable))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8085 (defun vhdl-template-wait-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8086 (vhdl-hooked-abbrev 'vhdl-template-wait))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8087 (defun vhdl-template-when-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8088 (vhdl-hooked-abbrev 'vhdl-template-when))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8089 (defun vhdl-template-while-loop-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8090 (vhdl-hooked-abbrev 'vhdl-template-while-loop))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8091 (defun vhdl-template-with-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8092 (vhdl-hooked-abbrev 'vhdl-template-with))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8093 (defun vhdl-template-and-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8094 (vhdl-hooked-abbrev 'vhdl-template-and))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8095 (defun vhdl-template-or-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8096 (vhdl-hooked-abbrev 'vhdl-template-or))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8097 (defun vhdl-template-nand-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8098 (vhdl-hooked-abbrev 'vhdl-template-nand))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8099 (defun vhdl-template-nor-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8100 (vhdl-hooked-abbrev 'vhdl-template-nor))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8101 (defun vhdl-template-xor-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8102 (vhdl-hooked-abbrev 'vhdl-template-xor))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8103 (defun vhdl-template-xnor-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8104 (vhdl-hooked-abbrev 'vhdl-template-xnor))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8105 (defun vhdl-template-not-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8106 (vhdl-hooked-abbrev 'vhdl-template-not))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8107
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8108 (defun vhdl-template-default-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8109 (vhdl-hooked-abbrev 'vhdl-template-default))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8110 (defun vhdl-template-default-indent-hook ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8111 (vhdl-hooked-abbrev 'vhdl-template-default-indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8112
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8113 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8114 ;; Template insertion from completion list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8115
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8116 (defun vhdl-template-insert-construct (name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8117 "Insert the built-in construct template with NAME."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8118 (interactive
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8119 (list (let ((completion-ignore-case t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8120 (completing-read "Construct name: "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8121 vhdl-template-construct-alist nil t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8122 (vhdl-template-insert-fun
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8123 (car (cdr (assoc name vhdl-template-construct-alist)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8124
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8125 (defun vhdl-template-insert-package (name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8126 "Insert the built-in package template with NAME."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8127 (interactive
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8128 (list (let ((completion-ignore-case t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8129 (completing-read "Package name: "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8130 vhdl-template-package-alist nil t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8131 (vhdl-template-insert-fun
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8132 (car (cdr (assoc name vhdl-template-package-alist)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8133
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8134 (defun vhdl-template-insert-directive (name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8135 "Insert the built-in directive template with NAME."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8136 (interactive
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8137 (list (let ((completion-ignore-case t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8138 (completing-read "Directive name: "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8139 vhdl-template-directive-alist nil t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8140 (vhdl-template-insert-fun
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8141 (car (cdr (assoc name vhdl-template-directive-alist)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8142
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8143 (defun vhdl-template-insert-fun (fun)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8144 "Call FUN to insert a built-in template."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8145 (let ((caught (catch 'abort (when fun (funcall fun)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8146 (when (stringp caught) (message caught))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8147
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8148
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8149 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8150 ;;; Models
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8151 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8152
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8153 (defun vhdl-model-insert (model-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8154 "Insert the user model with name MODEL-NAME."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8155 (interactive
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8156 (let ((completion-ignore-case t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8157 (list (completing-read "Model name: " vhdl-model-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8158 (vhdl-indent-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8159 (let ((start (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8160 (margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8161 (case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8162 model position prompt string end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8163 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8164 (when (setq model (assoc model-name vhdl-model-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8165 ;; insert model
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8166 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8167 (delete-horizontal-space)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8168 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8169 (vhdl-insert-string-or-file (nth 1 model))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8170 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8171 ;; indent code
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8172 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8173 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8174 (while (< (point) end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8175 (unless (looking-at "^$")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8176 (insert-char ? margin))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8177 (beginning-of-line 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8178 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8179 ;; insert clock
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8180 (unless (equal "" vhdl-clock-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8181 (while (re-search-forward "<clock>" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8182 (replace-match vhdl-clock-name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8183 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8184 ;; insert reset
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8185 (unless (equal "" vhdl-reset-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8186 (while (re-search-forward "<reset>" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8187 (replace-match vhdl-reset-name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8188 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8189 ;; query prompts
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8190 (while (re-search-forward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8191 (concat "<\\(" vhdl-template-prompt-syntax "\\)>") end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8192 (unless (equal "cursor" (match-string 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8193 (setq position (match-beginning 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8194 (setq prompt (match-string 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8195 (replace-match "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8196 (setq string (vhdl-template-field prompt nil t))
42942
048fa049ccba Comment change.
Richard M. Stallman <rms@gnu.org>
parents: 42455
diff changeset
8197 ;; replace occurrences of same prompt
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8198 (while (re-search-forward (concat "<\\(" prompt "\\)>") end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8199 (replace-match (or string "")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8200 (goto-char position)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8201 (goto-char start)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8202 ;; goto final position
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8203 (if (re-search-forward "<cursor>" end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8204 (replace-match "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8205 (goto-char end))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8206
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8207 (defun vhdl-model-defun ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8208 "Define help and hook functions for user models."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8209 (let ((model-alist vhdl-model-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8210 model-name model-keyword)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8211 (while model-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8212 ;; define functions for user models that can be invoked from menu and key
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8213 ;; bindings and which themselves call `vhdl-model-insert' with the model
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8214 ;; name as argument
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8215 (setq model-name (nth 0 (car model-alist)))
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
8216 (eval `(defun ,(vhdl-function-name "vhdl-model" model-name) ()
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
8217 ,(concat "Insert model for \"" model-name "\".")
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
8218 (interactive)
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
8219 (vhdl-model-insert ,model-name)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8220 ;; define hooks for user models that are invoked from keyword abbrevs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8221 (setq model-keyword (nth 3 (car model-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8222 (unless (equal model-keyword "")
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
8223 (eval `(defun
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
8224 ,(vhdl-function-name
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
8225 "vhdl-model" model-name "hook") ()
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
8226 (vhdl-hooked-abbrev
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
8227 ',(vhdl-function-name "vhdl-model" model-name)))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8228 (setq model-alist (cdr model-alist)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8229
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8230 (vhdl-model-defun)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8231
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8232
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8233 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8234 ;;; Port translation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8235 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8236
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8237 (defvar vhdl-port-list nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8238 "Variable to hold last PORT map parsed.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8239 ;; structure: (parenthesised expression means list of such entries)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8240 ;; ((generic-names) generic-type generic-init generic-comment)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8241 ;; ((port-names) port-object port-direct port-type port-comment)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8242
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8243 (defun vhdl-parse-string (string &optional optional)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8244 "Check that the text following point matches the regexp in STRING.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8245 END is the point beyond which matching/searching should not go."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8246 (if (looking-at string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8247 (re-search-forward string nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8248 (unless optional
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8249 (throw 'parse (format "Syntax error near line %s" (vhdl-current-line))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8250 nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8251
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8252 (defun vhdl-replace-string (regexp-cons string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8253 "Replace STRING from car of REGEXP-CONS to cdr of REGEXP-CONS."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8254 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8255 (if (string-match (car regexp-cons) string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8256 (replace-match (cdr regexp-cons) t nil string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8257 string)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8258
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8259 (defun vhdl-port-flatten ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8260 "Flatten port list so that only one generic/port exists per line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8261 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8262 (if (not vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8263 (error "No port read")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8264 (message "Flattening port...")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8265 (let ((new-vhdl-port-list (list (car vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8266 (old-vhdl-port-list (cdr vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8267 old-port-list new-port-list old-port new-port names)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8268 ;; traverse port list and flatten entries
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8269 (while old-vhdl-port-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8270 (setq old-port-list (car old-vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8271 (setq new-port-list nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8272 (while old-port-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8273 (setq old-port (car old-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8274 (setq names (car old-port))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8275 (while names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8276 (setq new-port (cons (list (car names)) (cdr old-port)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8277 (setq new-port-list (append new-port-list (list new-port)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8278 (setq names (cdr names)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8279 (setq old-port-list (cdr old-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8280 (setq old-vhdl-port-list (cdr old-vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8281 (setq new-vhdl-port-list (append new-vhdl-port-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8282 (list new-port-list))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8283 (setq vhdl-port-list new-vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8284 (message "Flattening port...done"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8285
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8286 (defun vhdl-port-copy ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8287 "Get generic and port information from an entity or component declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8288 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8289 (message "Reading port...")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8290 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8291 (let ((case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8292 parse-error end-of-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8293 name generics ports
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8294 object names direct type init comment)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8295 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8296 (setq
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8297 parse-error
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8298 (catch 'parse
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8299 ;; check if within entity or component declaration
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8300 (when (or (not (re-search-backward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8301 "^\\s-*\\(component\\|entity\\|end\\)\\>" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8302 (equal "end" (match-string 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8303 (throw 'parse "Not within entity or component declaration"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8304 (forward-word 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8305 (vhdl-parse-string "\\s-*\\(\\w+\\)\\s-*\\(is\\)?\\s-*$")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8306 (setq name (match-string 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8307 (vhdl-forward-syntactic-ws)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8308 ;; parse generic clause
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8309 (when (vhdl-parse-string "generic[ \t\n]*(" t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8310 (vhdl-forward-syntactic-ws)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8311 (setq end-of-list (looking-at ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8312 (while (not end-of-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8313 ;; parse names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8314 (vhdl-parse-string "\\(\\w+\\)[ \t\n]*")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8315 (setq names (list (match-string 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8316 (while (vhdl-parse-string ",[ \t\n]*\\(\\w+\\)[ \t\n]*" t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8317 (setq names (append names (list (match-string 1)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8318 ;; parse type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8319 (vhdl-parse-string ":[ \t\n]*\\([^():;\n]+\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8320 (setq type (match-string 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8321 (setq comment nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8322 (while (looking-at "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8323 (setq type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8324 (concat type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8325 (buffer-substring
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8326 (point) (progn (forward-sexp) (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8327 (and (vhdl-parse-string "\\([^():;\n]*\\)" t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8328 (match-string 1)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8329 ;; special case: closing parenthesis is on separate line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8330 (when (and type (string-match "\\(\\s-*--\\s-*\\)\\(.*\\)" type))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8331 (setq comment (substring type (match-beginning 2)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8332 (setq type (substring type 0 (match-beginning 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8333 ;; strip of trailing whitespace
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8334 (string-match "\\(\\(\\s-*\\S-+\\)+\\)\\s-*" type)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8335 (setq type (substring type 0 (match-end 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8336 ;; parse initialization expression
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8337 (setq init nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8338 (when (vhdl-parse-string ":=[ \t\n]*" t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8339 (vhdl-parse-string "\\([^();\n]*\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8340 (setq init (match-string 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8341 (while (looking-at "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8342 (setq init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8343 (concat init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8344 (buffer-substring
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8345 (point) (progn (forward-sexp) (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8346 (and (vhdl-parse-string "\\([^();\n]*\\)" t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8347 (match-string 1))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8348 ;; special case: closing parenthesis is on separate line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8349 (when (and init (string-match "\\(\\s-*--\\s-*\\)\\(.*\\)" init))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8350 (setq comment (substring init (match-beginning 2)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8351 (setq init (substring init 0 (match-beginning 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8352 (vhdl-forward-syntactic-ws))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8353 (skip-chars-forward " \t")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8354 ;; parse inline comment, special case: as above, no initial.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8355 (unless comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8356 (setq comment (and (vhdl-parse-string "--\\s-*\\([^\n]*\\)" t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8357 (match-string 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8358 (vhdl-forward-syntactic-ws)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8359 (setq end-of-list (vhdl-parse-string ")" t))
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
8360 (vhdl-parse-string ";\\s-*")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8361 ;; parse inline comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8362 (unless comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8363 (setq comment (and (vhdl-parse-string "--\\s-*\\([^\n]*\\)" t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8364 (match-string 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8365 (vhdl-forward-syntactic-ws)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8366 ;; save everything in list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8367 (setq generics (append generics
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8368 (list (list names type init comment))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8369 ;; parse port clause
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8370 (when (vhdl-parse-string "port[ \t\n]*(" t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8371 (vhdl-forward-syntactic-ws)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8372 (setq end-of-list (looking-at ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8373 (while (not end-of-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8374 ;; parse object
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8375 (setq object
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8376 (and (vhdl-parse-string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8377 "\\(signal\\|quantity\\|terminal\\)[ \t\n]*" t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8378 (match-string 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8379 ;; parse names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8380 (vhdl-parse-string "\\(\\w+\\)[ \t\n]*")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8381 (setq names (list (match-string 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8382 (while (vhdl-parse-string ",[ \t\n]*\\(\\w+\\)[ \t\n]*" t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8383 (setq names (append names (list (match-string 1)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8384 ;; parse direction
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8385 (vhdl-parse-string ":[ \t\n]*")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8386 (setq direct
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8387 (and (vhdl-parse-string "\\(IN\\|OUT\\|INOUT\\)[ \t\n]+" t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8388 (match-string 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8389 ;; parse type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8390 (vhdl-parse-string "\\([^();\n]+\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8391 (setq type (match-string 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8392 (setq comment nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8393 (while (looking-at "(")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8394 (setq type (concat type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8395 (buffer-substring
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8396 (point) (progn (forward-sexp) (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8397 (and (vhdl-parse-string "\\([^();\n]*\\)" t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8398 (match-string 1)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8399 ;; special case: closing parenthesis is on separate line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8400 (when (string-match "\\(\\s-*--\\s-*\\)\\(.*\\)" type)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8401 (setq comment (substring type (match-beginning 2)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8402 (setq type (substring type 0 (match-beginning 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8403 ;; strip of trailing whitespace
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8404 (string-match "\\(\\(\\s-*\\S-+\\)+\\)\\s-*" type)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8405 (setq type (substring type 0 (match-end 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8406 (vhdl-forward-syntactic-ws)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8407 (setq end-of-list (vhdl-parse-string ")" t))
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
8408 (vhdl-parse-string ";\\s-*")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8409 ;; parse inline comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8410 (unless comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8411 (setq comment (and (vhdl-parse-string "--\\s-*\\([^\n]*\\)" t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8412 (match-string 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8413 (vhdl-forward-syntactic-ws)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8414 ;; save everything in list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8415 (setq ports
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8416 (append ports
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8417 (list (list names object direct type comment))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8418 nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8419 ;; finish parsing
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8420 (if parse-error
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8421 (error parse-error)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8422 (setq vhdl-port-list (list name generics ports))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8423 (message "Reading port...done")))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8424
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8425 (defun vhdl-port-paste-generic (&optional no-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8426 "Paste a generic clause."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8427 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8428 list-margin start names generic
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8429 (generics-list (nth 1 vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8430 ;; paste generic clause
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8431 (when generics-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8432 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8433 (vhdl-insert-keyword "GENERIC (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8434 (unless vhdl-argument-list-indent
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8435 (insert "\n") (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8436 (setq list-margin (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8437 (while generics-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8438 ;; paste names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8439 (setq generic (car generics-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8440 (setq names (nth 0 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8441 (while names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8442 (insert (car names))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8443 (setq names (cdr names))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8444 (when names (insert ", ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8445 ;; paste type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8446 (insert " : " (nth 1 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8447 ;; paste initialization
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8448 (when (and (not no-init) (nth 2 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8449 (insert " := " (nth 2 generic)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8450 (unless (cdr generics-list) (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8451 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8452 ;; paste comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8453 (when (and vhdl-include-port-comments (nth 3 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8454 (vhdl-comment-insert-inline (nth 3 generic) t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8455 (setq generics-list (cdr generics-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8456 (when generics-list (insert "\n") (indent-to list-margin)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8457 ;; align generic clause
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8458 (when vhdl-auto-align (vhdl-align-noindent-region start (point) 1 t)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8459
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8460 (defun vhdl-port-paste-port ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8461 "Paste a port clause."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8462 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8463 list-margin start names port
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8464 (ports-list (nth 2 vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8465 ;; paste port clause
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8466 (when ports-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8467 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8468 (vhdl-insert-keyword "PORT (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8469 (unless vhdl-argument-list-indent
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8470 (insert "\n") (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8471 (setq list-margin (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8472 (while ports-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8473 (setq port (car ports-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8474 ;; paste object
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8475 (when (nth 1 port) (insert (nth 1 port) " "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8476 ;; paste names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8477 (setq names (nth 0 port))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8478 (while names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8479 (insert (car names))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8480 (setq names (cdr names))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8481 (when names (insert ", ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8482 ;; paste direction
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8483 (insert " : ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8484 (when (nth 2 port) (insert (nth 2 port) " "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8485 ;; paste type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8486 (insert (nth 3 port))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8487 (unless (cdr ports-list) (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8488 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8489 ;; paste comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8490 (when (and vhdl-include-port-comments (nth 4 port))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8491 (vhdl-comment-insert-inline (nth 4 port) t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8492 (setq ports-list (cdr ports-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8493 (when ports-list (insert "\n") (indent-to list-margin)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8494 ;; align port clause
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8495 (when vhdl-auto-align (vhdl-align-noindent-region start (point) 1)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8496
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8497 (defun vhdl-port-paste-declaration (kind)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8498 "Paste as an entity or component declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8499 (vhdl-indent-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8500 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8501 (name (nth 0 vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8502 (vhdl-insert-keyword (if (eq kind 'entity) "ENTITY " "COMPONENT "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8503 (insert name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8504 (if (eq kind 'entity) (vhdl-insert-keyword " IS"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8505 ;; paste generic and port clause
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8506 (when (nth 1 vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8507 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8508 (when (and (memq vhdl-insert-empty-lines '(unit all)) (eq kind 'entity))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8509 (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8510 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8511 (vhdl-port-paste-generic (eq kind 'component)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8512 (when (nth 2 vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8513 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8514 (when (and (memq vhdl-insert-empty-lines '(unit all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8515 (eq kind 'entity))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8516 (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8517 (indent-to (+ margin vhdl-basic-offset)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8518 (vhdl-port-paste-port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8519 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8520 (when (and (memq vhdl-insert-empty-lines '(unit all)) (eq kind 'entity))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8521 (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8522 (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8523 (vhdl-insert-keyword "END")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8524 (if (eq kind 'entity)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8525 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8526 (unless (vhdl-standard-p '87) (vhdl-insert-keyword " ENTITY"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8527 (insert " " name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8528 (vhdl-insert-keyword " COMPONENT")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8529 (unless (vhdl-standard-p '87) (insert " " name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8530 (insert ";")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8531
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8532 (defun vhdl-port-paste-entity ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8533 "Paste as an entity declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8534 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8535 (if (not vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8536 (error "No port read")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8537 (message "Pasting port as entity...")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8538 (vhdl-port-paste-declaration 'entity)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8539 (message "Pasting port as entity...done")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8540
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8541 (defun vhdl-port-paste-component ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8542 "Paste as a component declaration."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8543 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8544 (if (not vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8545 (error "No port read")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8546 (message "Pasting port as component...")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8547 (vhdl-port-paste-declaration 'component)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8548 (message "Pasting port as component...done")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8549
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8550 (defun vhdl-port-paste-generic-map (&optional secondary no-constants)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8551 "Paste as a generic map."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8552 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8553 (unless secondary (vhdl-indent-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8554 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8555 list-margin start generic
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8556 (generics-list (nth 1 vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8557 (when generics-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8558 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8559 (vhdl-insert-keyword "GENERIC MAP (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8560 (if (not vhdl-association-list-with-formals)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8561 ;; paste list of actual generics
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8562 (while generics-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8563 (insert (or (nth 2 (car generics-list)) " "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8564 (setq generics-list (cdr generics-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8565 (insert (if generics-list ", " ")")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8566 (unless vhdl-argument-list-indent
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
8567 (insert "\n") (indent-to (+ margin (* 2 vhdl-basic-offset))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8568 (setq list-margin (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8569 (while generics-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8570 (setq generic (car generics-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8571 ;; paste formal and actual generic
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8572 (insert (car (nth 0 generic)) " => "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8573 (if no-constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8574 (car (nth 0 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8575 (or (nth 2 generic) "")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8576 (setq generics-list (cdr generics-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8577 (insert (if generics-list "," ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8578 ;; paste comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8579 (when (and vhdl-include-port-comments (nth 3 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8580 (vhdl-comment-insert-inline (nth 3 generic) t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8581 (when generics-list (insert "\n") (indent-to list-margin)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8582 ;; align generic map
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8583 (when vhdl-auto-align
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8584 (vhdl-align-noindent-region start (point) 1 t))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8585
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8586 (defun vhdl-port-paste-port-map ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8587 "Paste as a port map."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8588 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8589 list-margin start port
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8590 (ports-list (nth 2 vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8591 (when ports-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8592 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8593 (vhdl-insert-keyword "PORT MAP (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8594 (if (not vhdl-association-list-with-formals)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8595 ;; paste list of actual ports
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8596 (while ports-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8597 (insert (vhdl-replace-string vhdl-actual-port-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8598 (car (nth 0 (car ports-list)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8599 (setq ports-list (cdr ports-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8600 (insert (if ports-list ", " ");")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8601 (unless vhdl-argument-list-indent
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
8602 (insert "\n") (indent-to (+ margin (* 2 vhdl-basic-offset))))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8603 (setq list-margin (current-column))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8604 (while ports-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8605 (setq port (car ports-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8606 ;; paste formal and actual port
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8607 (insert (car (nth 0 port)) " => ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8608 (insert (vhdl-replace-string vhdl-actual-port-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8609 (car (nth 0 port))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8610 (setq ports-list (cdr ports-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8611 (insert (if ports-list "," ");"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8612 ;; paste comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8613 (when (or vhdl-include-direction-comments
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8614 (and vhdl-include-port-comments (nth 4 port)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8615 (vhdl-comment-insert-inline
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8616 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8617 (if vhdl-include-direction-comments
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8618 (format "%-4s" (or (concat (nth 2 port) " ") "")) "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8619 (if vhdl-include-port-comments (nth 4 port) "")) t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8620 (when ports-list (insert "\n") (indent-to list-margin)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8621 ;; align port clause
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8622 (when vhdl-auto-align
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8623 (vhdl-align-noindent-region start (point) 1))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8624
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8625 (defun vhdl-port-paste-instance (&optional name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8626 "Paste as an instantiation."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8627 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8628 (if (not vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8629 (error "No port read")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8630 (let ((orig-vhdl-port-list vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8631 ;; flatten local copy of port list (must be flat for port mapping)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8632 (vhdl-port-flatten)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8633 (vhdl-indent-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8634 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8635 list-margin start generic port
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8636 (generics-list (nth 1 vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8637 (ports-list (nth 2 vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8638 ;; paste instantiation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8639 (if name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8640 (insert name ": ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8641 (if (equal (cdr vhdl-instance-name) "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8642 (vhdl-template-field "instance name" ": ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8643 (insert (vhdl-replace-string vhdl-instance-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8644 (nth 0 vhdl-port-list)) ": ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8645 (message "Pasting port as instantiation...")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8646 (if (vhdl-standard-p '87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8647 (insert (nth 0 vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8648 (vhdl-insert-keyword "ENTITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8649 (insert "work." (nth 0 vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8650 (when (nth 1 vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8651 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8652 (vhdl-port-paste-generic-map t t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8653 (when (nth 2 vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8654 (insert "\n") (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8655 (vhdl-port-paste-port-map))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8656 (message "Pasting port as instantiation...done"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8657 (setq vhdl-port-list orig-vhdl-port-list))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8658
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8659 (defun vhdl-port-paste-signals (&optional initialize)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8660 "Paste ports as internal signals."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8661 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8662 (if (not vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8663 (error "No port read")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8664 (message "Pasting port as signals...")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8665 (vhdl-indent-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8666 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8667 start port names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8668 (ports-list (nth 2 vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8669 (when ports-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8670 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8671 (while ports-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8672 (setq port (car ports-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8673 ;; paste object
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8674 (if (nth 1 port)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8675 (insert (nth 1 port) " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8676 (vhdl-insert-keyword "SIGNAL "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8677 ;; paste actual port signals
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8678 (setq names (nth 0 port))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8679 (while names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8680 (insert (vhdl-replace-string vhdl-actual-port-name (car names)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8681 (setq names (cdr names))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8682 (when names (insert ", ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8683 ;; paste type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8684 (insert " : " (nth 3 port))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8685 ;; paste initialization (inputs only)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8686 (when (and initialize (equal "in" (nth 2 port)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8687 (insert
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8688 " := "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8689 (if (string-match "(.+)" (nth 3 port)) "(others => '0')" "'0'")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8690 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8691 ;; paste comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8692 (when (and vhdl-include-port-comments (nth 4 port))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8693 (vhdl-comment-insert-inline (nth 4 port) t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8694 (setq ports-list (cdr ports-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8695 (when ports-list (insert "\n") (indent-to margin)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8696 ;; align signal list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8697 (when vhdl-auto-align (vhdl-align-noindent-region start (point) 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8698 (message "Pasting port as signals...done")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8699
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8700 (defun vhdl-port-paste-constants ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8701 "Paste generics as constants."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8702 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8703 (if (not vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8704 (error "No port read")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8705 (let ((orig-vhdl-port-list vhdl-port-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8706 (message "Pasting port as constants...")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8707 ;; flatten local copy of port list (must be flat for constant initial.)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8708 (vhdl-port-flatten)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8709 (vhdl-indent-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8710 (let ((margin (current-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8711 start generic name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8712 (generics-list (nth 1 vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8713 (when generics-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8714 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8715 (while generics-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8716 (setq generic (car generics-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8717 (vhdl-insert-keyword "CONSTANT ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8718 ;; paste generic constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8719 (setq name (nth 0 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8720 (when name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8721 (insert (car name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8722 ;; paste type
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8723 (insert " : " (nth 1 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8724 ;; paste initialization
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8725 (when (nth 2 generic)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8726 (insert " := " (nth 2 generic)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8727 (insert ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8728 ;; paste comment
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8729 (when (and vhdl-include-port-comments (nth 3 generic))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8730 (vhdl-comment-insert-inline (nth 3 generic) t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8731 (setq generics-list (cdr generics-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8732 (when generics-list (insert "\n") (indent-to margin))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8733 ;; align signal list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8734 (when vhdl-auto-align
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8735 (vhdl-align-noindent-region start (point) 1))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8736 (message "Pasting port as constants...done")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8737 (setq vhdl-port-list orig-vhdl-port-list))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8738
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8739 (defun vhdl-port-paste-testbench ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8740 "Paste as a bare-bones test bench."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8741 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8742 (if (not vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8743 (error "No port read")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8744 (message "Pasting port as test bench...")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8745 (let ((case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8746 (ent-name (vhdl-replace-string vhdl-testbench-entity-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8747 (nth 0 vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8748 (source-buffer (current-buffer))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8749 arch-name ent-file-name arch-file-name no-entity position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8750 ;; open entity file
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8751 (when (not (eq vhdl-testbench-create-files 'none))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8752 (string-match "\\.[^.]*\\'" (buffer-file-name (current-buffer)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8753 (setq ent-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8754 (concat ent-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8755 (substring (buffer-file-name (current-buffer))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8756 (match-beginning 0))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8757 (when (file-exists-p ent-file-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8758 (if (y-or-n-p
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8759 (concat "File `" ent-file-name "' exists; overwrite? "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8760 (progn (delete-file ent-file-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8761 (when (get-file-buffer ent-file-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8762 (set-buffer ent-file-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8763 (set-buffer-modified-p nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8764 (kill-buffer ent-file-name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8765 (if (eq vhdl-testbench-create-files 'separate)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8766 (setq no-entity t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8767 (error "Pasting port as test bench...aborted"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8768 (unless no-entity
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8769 (set-buffer source-buffer)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8770 (find-file ent-file-name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8771 (let ((margin 0))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8772 (unless (and (eq vhdl-testbench-create-files 'separate) no-entity)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8773 ;; paste entity header
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8774 (unless (equal "" vhdl-testbench-entity-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8775 (vhdl-insert-string-or-file vhdl-testbench-entity-header))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8776 (vhdl-comment-display-line) (insert "\n\n") (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8777 ;; paste std_logic_1164 package
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8778 (vhdl-insert-keyword "LIBRARY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8779 (insert "ieee;\n") (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8780 (vhdl-insert-keyword "USE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8781 (insert "ieee.std_logic_1164.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8782 (vhdl-insert-keyword "ALL;")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8783 (insert "\n\n") (indent-to margin) (vhdl-comment-display-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8784 (insert "\n\n") (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8785 ;; paste entity declaration
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8786 (vhdl-insert-keyword "ENTITY ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8787 (insert ent-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8788 (vhdl-insert-keyword " IS")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8789 (when (memq vhdl-insert-empty-lines '(unit all)) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8790 (insert "\n") (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8791 (vhdl-insert-keyword "END ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8792 (unless (vhdl-standard-p '87) (vhdl-insert-keyword "ENTITY "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8793 (insert ent-name ";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8794 (insert "\n\n") (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8795 (vhdl-comment-display-line) (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8796 ;; get architecture name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8797 (setq arch-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8798 (if (equal (cdr vhdl-testbench-architecture-name) "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8799 (read-from-minibuffer "architecture name: "
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8800 nil vhdl-minibuffer-local-map)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8801 (vhdl-replace-string vhdl-testbench-architecture-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8802 (nth 0 vhdl-port-list))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8803 ;; open architecture file
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8804 (when (eq vhdl-testbench-create-files 'separate)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8805 (save-buffer)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8806 (string-match "\\.[^.]*\\'" (buffer-file-name (current-buffer)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8807 (setq arch-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8808 (concat arch-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8809 (substring (buffer-file-name (current-buffer))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8810 (match-beginning 0))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8811 (when (file-exists-p arch-file-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8812 (if (y-or-n-p
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8813 (concat "File `" ent-file-name "' exists; overwrite? "))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8814 (progn (delete-file arch-file-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8815 (when (get-file-buffer arch-file-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8816 (set-buffer (get-file-buffer arch-file-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8817 (set-buffer-modified-p nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8818 (kill-buffer arch-file-name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8819 (error "Pasting port as test bench...aborted")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8820 (set-buffer source-buffer)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8821 (find-file arch-file-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8822 ;; paste architecture header
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8823 (unless (equal "" vhdl-testbench-architecture-header)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8824 (vhdl-insert-string-or-file vhdl-testbench-architecture-header))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8825 (vhdl-comment-display-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8826 (insert "\n"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8827 (insert "\n") (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8828 ;; paste architecture body
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8829 (vhdl-insert-keyword "ARCHITECTURE ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8830 (insert arch-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8831 (vhdl-insert-keyword " OF ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8832 (insert ent-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8833 (vhdl-insert-keyword " IS")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8834 (insert "\n\n") (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8835 ;; paste component declaration
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8836 (when (vhdl-standard-p '87)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8837 (vhdl-port-paste-component)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8838 (insert "\n\n") (indent-to margin))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8839 ;; paste constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8840 (when (nth 1 vhdl-port-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8841 (vhdl-port-paste-constants)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8842 (insert "\n\n") (indent-to margin))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8843 ;; paste internal signals
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8844 (vhdl-port-paste-signals vhdl-testbench-initialize-signals)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8845 ;; paste custom declarations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8846 (unless (equal "" vhdl-testbench-declarations)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8847 (insert "\n\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8848 (vhdl-insert-string-or-file vhdl-testbench-declarations)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8849 (delete-indentation))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8850 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8851 (insert "\n\n") (indent-to margin)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8852 (vhdl-comment-display-line) (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8853 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8854 (vhdl-template-begin-end
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8855 (unless (vhdl-standard-p '87) "ARCHITECTURE")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8856 arch-name margin t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8857 ;; paste instantiation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8858 (vhdl-port-paste-instance
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8859 (vhdl-replace-string vhdl-testbench-dut-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8860 (nth 0 vhdl-port-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8861 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8862 ;; paste custom statements
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8863 (unless (equal "" vhdl-testbench-statements)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8864 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8865 (vhdl-insert-string-or-file vhdl-testbench-statements))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8866 (insert "\n")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8867 (indent-to (+ margin vhdl-basic-offset))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8868 (when (not (eq vhdl-testbench-create-files 'none))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8869 (save-buffer))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8870 (message "Pasting port as test bench...done")))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8871
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8872
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8873 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8874 ;;; Miscellaneous
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8875 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8876
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8877 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8878 ;; Hippie expand customization
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8879
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8880 (defvar vhdl-expand-upper-case nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8881
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8882 (defun vhdl-try-expand-abbrev (old)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8883 "Try expanding abbreviations from `vhdl-abbrev-list'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8884 (unless old
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8885 (he-init-string (he-dabbrev-beg) (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8886 (setq he-expand-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8887 (let ((abbrev-list vhdl-abbrev-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8888 (sel-abbrev-list '()))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8889 (while abbrev-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8890 (when (or (not (stringp (car abbrev-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8891 (string-match
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8892 (concat "^" he-search-string) (car abbrev-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8893 (setq sel-abbrev-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8894 (cons (car abbrev-list) sel-abbrev-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8895 (setq abbrev-list (cdr abbrev-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8896 (nreverse sel-abbrev-list))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8897 (while (and he-expand-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8898 (or (not (stringp (car he-expand-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8899 (he-string-member (car he-expand-list) he-tried-table t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8900 ; (equal (car he-expand-list) he-search-string)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8901 (unless (stringp (car he-expand-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8902 (setq vhdl-expand-upper-case (car he-expand-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8903 (setq he-expand-list (cdr he-expand-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8904 (if (null he-expand-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8905 (progn (when old (he-reset-string))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8906 nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8907 (he-substitute-string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8908 (if vhdl-expand-upper-case
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8909 (upcase (car he-expand-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8910 (car he-expand-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8911 t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8912 (setq he-expand-list (cdr he-expand-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8913 t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8914
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8915 (defun vhdl-he-list-beg ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8916 "Also looks at the word before `(' in order to better match parenthesized
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8917 expressions (e.g. for index ranges of types and signals)."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8918 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8919 (condition-case ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8920 (progn (backward-up-list 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8921 (skip-syntax-backward "w_")) ; crashes in `viper-mode'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8922 (error ()))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8923 (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8924
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8925 ;; override `he-list-beg' from `hippie-exp'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8926 (unless (and (boundp 'viper-mode) viper-mode)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8927 (require 'hippie-exp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8928 (defalias 'he-list-beg 'vhdl-he-list-beg))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8929
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8930 ;; function for expanding abbrevs and dabbrevs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8931 (fset 'vhdl-expand-abbrev (make-hippie-expand-function
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8932 '(try-expand-dabbrev
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8933 try-expand-dabbrev-all-buffers
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8934 vhdl-try-expand-abbrev)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8935
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8936 ;; function for expanding parenthesis
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8937 (fset 'vhdl-expand-paren (make-hippie-expand-function
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8938 '(try-expand-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8939 try-expand-list-all-buffers)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8940
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8941 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8942 ;; Case fixing
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8943
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8944 (defun vhdl-fix-case-region-1 (beg end upper-case word-regexp &optional count)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8945 "Convert all words matching word-regexp in region to lower or upper case,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8946 depending on parameter upper-case."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8947 (let ((case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8948 (case-replace nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8949 (last-update 0))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8950 (vhdl-ext-syntax-table
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8951 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8952 (goto-char end)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8953 (setq end (point-marker))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8954 (goto-char beg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8955 (while (re-search-forward word-regexp end t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8956 (or (vhdl-in-comment-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8957 (vhdl-in-string-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8958 (if upper-case
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8959 (upcase-word -1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8960 (downcase-word -1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8961 (when (and count vhdl-progress-interval
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8962 (< vhdl-progress-interval
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8963 (- (nth 1 (current-time)) last-update)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8964 (message "Fixing case... (%2d%s)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8965 (+ (* count 25) (/ (* 25 (- (point) beg)) (- end beg)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8966 "%")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8967 (setq last-update (nth 1 (current-time)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8968 (goto-char end)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8969 (and count vhdl-progress-interval (message "Fixing case...done"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8970
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8971 (defun vhdl-fix-case-region (beg end &optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8972 "Convert all VHDL words in region to lower or upper case, depending on
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8973 variables vhdl-upper-case-{keywords,types,attributes,enum-values}."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8974 (interactive "r\nP")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8975 (vhdl-fix-case-region-1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8976 beg end vhdl-upper-case-keywords vhdl-keywords-regexp 0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8977 (vhdl-fix-case-region-1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8978 beg end vhdl-upper-case-types vhdl-types-regexp 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8979 (vhdl-fix-case-region-1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8980 beg end vhdl-upper-case-attributes (concat "'" vhdl-attributes-regexp) 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8981 (vhdl-fix-case-region-1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8982 beg end vhdl-upper-case-enum-values vhdl-enum-values-regexp 3))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8983
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8984 (defun vhdl-fix-case-buffer ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8985 "Convert all VHDL words in buffer to lower or upper case, depending on
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8986 variables vhdl-upper-case-{keywords,types,attributes,enum-values}."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8987 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8988 (vhdl-fix-case-region (point-min) (point-max)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8989
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8990 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8991 ;; Line handling functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8992
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8993 (defun vhdl-current-line ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8994 "Return the line number of the line containing point."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8995 (save-restriction
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8996 (widen)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8997 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8998 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
8999 (1+ (count-lines 1 (point))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9000
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9001 (defun vhdl-line-kill-entire (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9002 "Delete entire line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9003 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9004 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9005 (kill-line (or arg 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9006
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9007 (defun vhdl-line-kill (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9008 "Kill current line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9009 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9010 (vhdl-line-kill-entire arg))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9011
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9012 (defun vhdl-line-copy (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9013 "Copy current line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9014 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9015 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9016 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9017 (let ((position (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9018 (forward-line (or arg 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9019 (copy-region-as-kill position (point)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9020
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9021 (defun vhdl-line-yank ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9022 "Yank entire line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9023 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9024 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9025 (yank))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9026
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9027 (defun vhdl-line-expand (&optional prefix-arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9028 "Hippie-expand current line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9029 (interactive "P")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9030 (let ((case-fold-search t) (case-replace nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9031 (hippie-expand-try-functions-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9032 '(try-expand-line try-expand-line-all-buffers)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9033 (hippie-expand prefix-arg)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9034
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9035 (defun vhdl-line-transpose-next (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9036 "Interchange this line with next line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9037 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9038 (forward-line 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9039 (transpose-lines (or arg 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9040 (forward-line -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9041
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9042 (defun vhdl-line-transpose-previous (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9043 "Interchange this line with previous line."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9044 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9045 (forward-line 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9046 (transpose-lines (- 0 (or arg 0)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9047 (forward-line -1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9048
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9049 (defun vhdl-line-open ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9050 "Open a new line and indent."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9051 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9052 (end-of-line -0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9053 (newline-and-indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9054
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9055
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9056 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9057 ;;; Project
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9058 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9059
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9060 (defun vhdl-project-switch (name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9061 "Switch to project NAME."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9062 (setq vhdl-project name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9063 (when (and (boundp 'speedbar-frame) (frame-live-p speedbar-frame))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9064 (speedbar-refresh)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9065
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9066
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9067 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9068 ;;; Compilation
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9069 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9070 ;; (using `compile.el')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9071
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9072 (defun vhdl-compile-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9073 "Initialize for compilation."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9074 (unless compilation-error-regexp-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9075 (setq compilation-error-regexp-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9076 (let ((commands-alist vhdl-compiler-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9077 regexp-alist sublist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9078 (while commands-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9079 (setq sublist (nth 5 (car commands-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9080 (unless (equal "" (car sublist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9081 (setq regexp-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9082 (cons (list (nth 0 sublist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9083 (if (= 0 (nth 1 sublist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9084 (if (string-match
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9085 "XEmacs" emacs-version) 9 nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9086 (nth 1 sublist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9087 (nth 2 sublist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9088 regexp-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9089 (setq commands-alist (cdr commands-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9090 regexp-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9091 (unless compilation-file-regexp-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9092 (setq compilation-file-regexp-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9093 (let ((commands-alist vhdl-compiler-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9094 regexp-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9095 (while commands-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9096 (unless (equal "" (car (nth 6 (car commands-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9097 (setq regexp-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9098 (append regexp-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9099 (list (nth 6 (car commands-alist))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9100 (setq commands-alist (cdr commands-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9101 regexp-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9102
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9103 (defun vhdl-compile ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9104 "Compile current buffer using the VHDL compiler specified in
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9105 `vhdl-compiler'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9106 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9107 (vhdl-compile-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9108 (let* ((command-elem (assoc vhdl-compiler vhdl-compiler-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9109 (command (nth 1 command-elem))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9110 (default-directory (expand-file-name (nth 4 command-elem))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9111 (when command
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9112 (compile (concat command " " vhdl-compiler-options
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9113 (unless (string-equal vhdl-compiler-options "") " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9114 (buffer-file-name))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9115
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9116 (defun vhdl-make ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9117 "Call make command for compilation of all updated source files (requires
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9118 `Makefile')."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9119 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9120 (vhdl-compile-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9121 (let* ((command-elem (assoc vhdl-compiler vhdl-compiler-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9122 (command (nth 2 command-elem))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9123 (default-directory (expand-file-name (nth 4 command-elem))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9124 (if (equal command "")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9125 (compile "make")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9126 (compile command))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9127
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9128 (defun vhdl-generate-makefile ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9129 "Generate new `Makefile'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9130 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9131 (vhdl-compile-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9132 (let* ((command-elem (assoc vhdl-compiler vhdl-compiler-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9133 (command (nth 3 command-elem))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9134 (default-directory (expand-file-name (nth 4 command-elem))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9135 (if (not (equal command ""))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9136 (compile command)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9137 (error "No such command specified for `%s'" vhdl-compiler))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9138
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9139
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9140 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9141 ;;; Hideshow
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9142 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9143 ;; (using `hideshow.el')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9144
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9145 (defun vhdl-forward-unit (&optional count)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9146 "Find begin and end of VHDL design units (for hideshow)."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9147 (interactive "p")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9148 (let ((case-fold-search t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9149 (if (< count 0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9150 (re-search-backward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9151 "^\\(architecture\\|configuration\\|entity\\|package\\)\\>" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9152 (re-search-forward "^end\\>" nil t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9153
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9154 (when (string-match "XEmacs" emacs-version)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9155 (require 'hideshow))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9156
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9157 (unless (assq 'vhdl-mode hs-special-modes-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9158 (setq hs-special-modes-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9159 (cons
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9160 '(vhdl-mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9161 "\\(^\\)\\(architecture\\|ARCHITECTURE\\|configuration\\|CONFIGURATION\\|entity\\|ENTITY\\|package\\|PACKAGE\\)\\>"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9162 "\\(^\\)\\(end\\|END\\)\\>"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9163 "--\\( \\|$\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9164 vhdl-forward-unit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9165 hs-special-modes-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9166
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9167 (defun vhdl-hideshow-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9168 "Initialize `hideshow'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9169 (if vhdl-hide-all-init
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9170 (add-hook 'hs-minor-mode-hook 'hs-hide-all)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9171 (remove-hook 'hs-minor-mode-hook 'hs-hide-all))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9172 (if vhdl-hideshow-menu
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9173 (hs-minor-mode 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9174 (when (boundp 'hs-minor-mode) (hs-minor-mode 0))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9175
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9176
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9177 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9178 ;;; Font locking
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9179 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9180 ;; (using `font-lock.el')
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9181
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9182 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9183 ;; Help functions for translate-off region highlighting
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9184
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9185 (defun vhdl-within-translate-off ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9186 "Return point if within translate-off region, else nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9187 (and (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9188 (re-search-backward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9189 "^\\s-*--\\s-*pragma\\s-*translate_\\(on\\|off\\)\\s-*\n" nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9190 (equal "off" (match-string 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9191 (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9192
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9193 (defun vhdl-start-translate-off (limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9194 "Return point before translate-off pragma if before LIMIT, else nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9195 (when (re-search-forward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9196 "^\\s-*--\\s-*pragma\\s-*translate_off\\s-*\n" limit t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9197 (match-beginning 0)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9198
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9199 (defun vhdl-end-translate-off (limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9200 "Return point after translate-on pragma if before LIMIT, else nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9201 (re-search-forward "^\\s-*--\\s-*pragma\\s-*translate_on\\s-*\n" limit t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9202
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9203 (defun vhdl-match-translate-off (limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9204 "Match a translate-off block, setting match-data and returning t, else nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9205 (when (< (point) limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9206 (let ((start (or (vhdl-within-translate-off)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9207 (vhdl-start-translate-off limit)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9208 (case-fold-search t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9209 (when start
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9210 (let ((end (or (vhdl-end-translate-off limit) limit)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9211 (set-match-data (list start end))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9212 (goto-char end))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9213
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9214 (defun vhdl-font-lock-match-item (limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9215 "Match, and move over, any declaration item after point. Adapted from
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9216 `font-lock-match-c-style-declaration-item-and-skip-to-next'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9217 (condition-case nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9218 (save-restriction
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9219 (narrow-to-region (point-min) limit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9220 ;; match item
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9221 (when (looking-at "\\s-*\\(\\w+\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9222 (save-match-data
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9223 (goto-char (match-end 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9224 ;; move to next item
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9225 (if (looking-at "\\(\\s-*,\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9226 (goto-char (match-end 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9227 (end-of-line) t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9228 (error t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9229
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9230 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9231 ;; Syntax definitions
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9232
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9233 (defconst vhdl-font-lock-syntactic-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9234 '(("\\(\'\\).\\(\'\\)" (1 (7 . ?\')) (2 (7 . ?\'))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9235 "Mark single quotes as having string quote syntax in 'c' instances.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9236
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9237 (defvar vhdl-font-lock-keywords nil
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9238 "Regular expressions to highlight in VHDL Mode.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9239
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9240 (defconst vhdl-font-lock-keywords-0
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9241 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9242 ;; highlight template prompts
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9243 (list (concat "\\(<" vhdl-template-prompt-syntax ">\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9244 1 'vhdl-font-lock-prompt-face t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9245
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9246 ;; highlight directives
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9247 '("--\\s-*pragma\\s-+\\(.*\\)$" 1 vhdl-font-lock-directive-face t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9248 )
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9249 "For consideration as a value of `vhdl-font-lock-keywords'.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9250 This does highlighting of template prompts and directives (pragmas).")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9251
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9252 (defvar vhdl-font-lock-keywords-1 nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9253 ;; set in `vhdl-font-lock-init' because dependent on custom variables
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9254 "For consideration as a value of `vhdl-font-lock-keywords'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9255 This does highlighting of keywords and standard identifiers.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9256
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9257 (defconst vhdl-font-lock-keywords-2
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9258 (list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9259 ;; highlight names of units, subprograms, and components when declared
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9260 (list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9261 (concat
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9262 "^\\s-*\\("
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9263 "architecture\\|configuration\\|entity\\|package\\(\\s-+body\\|\\)\\|"
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9264 "\\(\\(impure\\|pure\\)\\s-+\\|\\)function\\|procedure\\|component"
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9265 "\\)\\s-+\\(\\w+\\)")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9266 5 'font-lock-function-name-face)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9267
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9268 ;; highlight entity names of architectures and configurations
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9269 (list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9270 "^\\s-*\\(architecture\\|configuration\\)\\s-+\\w+\\s-+of\\s-+\\(\\w+\\)"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9271 2 'font-lock-function-name-face)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9272
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9273 ;; highlight labels of common constructs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9274 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9275 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9276 "^\\s-*\\(\\w+\\)\\s-*:\\(\\s-\\|\n\\)*\\(\\("
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9277 "assert\\|block\\|case\\|component\\|configuration\\|entity\\|exit\\|"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9278 "for\\|if\\|loop\\|next\\|null\\|postponed\\|process\\|"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9279 (when (vhdl-standard-p 'ams) "procedural\\|")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9280 "with\\|while"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9281 "\\)\\>\\|[^\n]*<=\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9282 1 'font-lock-function-name-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9283
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9284 ;; highlight label and component name of component instantiations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9285 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9286 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9287 "^\\s-*\\(\\w+\\)\\s-*:[ \t\n]*\\(component\\s-+\\|\\)\\(\\w+\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9288 "\\(\\s-\\|\n\\)+\\(generic\\|port\\)\\s-+map\\>")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9289 '(1 font-lock-function-name-face) '(3 font-lock-function-name-face))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9290
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9291 ;; highlight names and labels at end of constructs
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9292 (list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9293 (concat
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9294 "^\\s-*end\\s-+\\(\\("
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9295 "architecture\\|block\\|case\\|component\\|configuration\\|entity\\|"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9296 "for\\|function\\|generate\\|if\\|loop\\|package\\(\\s-+body\\|\\)\\|"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9297 "procedure\\|\\(postponed\\s-+\\|\\)process\\|"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9298 (when (vhdl-standard-p 'ams) "procedural\\|")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9299 "units"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9300 "\\)\\>\\|\\)\\s-*\\(\\w*\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9301 5 'font-lock-function-name-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9302
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9303 ;; highlight labels in exit and next statements
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9304 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9305 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9306 "^\\s-*\\(\\w+\\s-*:\\s-*\\)?\\(exit\\|next\\)\\s-+\\(\\w*\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9307 3 'font-lock-function-name-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9308
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9309 ;; highlight entity name in attribute specifications
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9310 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9311 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9312 "^\\s-*attribute\\s-+\\w+\\s-+of\\s-+\\(\\w+\\(,\\s-*\\w+\\)*\\)\\s-*:")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9313 1 'font-lock-function-name-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9314
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9315 ;; highlight labels in component specifications
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9316 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9317 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9318 "^\\s-*for\\s-+\\(\\w+\\(,\\s-*\\w+\\)*\\)\\s-*:"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9319 "\\(\\s-\\|\n\\)*\\(\\w+\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9320 '(1 font-lock-function-name-face) '(4 font-lock-function-name-face))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9321
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9322 ;; highlight attribute name in attribute declarations/specifications
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9323 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9324 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9325 "^\\s-*attribute\\s-+\\(\\w+\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9326 1 'vhdl-font-lock-attribute-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9327
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9328 ;; highlight type/nature name in (sub)type/(sub)nature declarations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9329 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9330 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9331 "^\\s-*\\(sub\\|\\)\\(nature\\|type\\)\\s-+\\(\\w+\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9332 3 'font-lock-type-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9333
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9334 ;; highlight signal/variable/constant declaration names
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9335 (list "\\(:[^=]\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9336 '(vhdl-font-lock-match-item
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9337 (progn (goto-char (match-beginning 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9338 (skip-syntax-backward " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9339 (skip-syntax-backward "w_")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9340 (skip-syntax-backward " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9341 (while (= (preceding-char) ?,)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9342 (backward-char 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9343 (skip-syntax-backward " ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9344 (skip-syntax-backward "w_")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9345 (skip-syntax-backward " ")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9346 ; (skip-chars-backward "^-(\n\";")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9347 (goto-char (match-end 1)) (1 font-lock-variable-name-face)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9348
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9349 ;; highlight alias/group declaration names and for-loop/-generate variables
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9350 (list "\\<\\(alias\\|for\\|group\\)\\s-+\\w+\\s-+\\(in\\|is\\)\\>"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9351 '(vhdl-font-lock-match-item
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9352 (progn (goto-char (match-end 1)) (match-beginning 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9353 nil (1 font-lock-variable-name-face)))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9354 )
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9355 "For consideration as a value of `vhdl-font-lock-keywords'.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9356 This does context sensitive highlighting of names and labels.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9357
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9358 (defvar vhdl-font-lock-keywords-3 nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9359 ;; set in `vhdl-font-lock-init' because dependent on custom variables
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9360 "For consideration as a value of `vhdl-font-lock-keywords'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9361 This does highlighting of words with special syntax.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9362
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9363 (defvar vhdl-font-lock-keywords-4 nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9364 ;; set in `vhdl-font-lock-init' because dependent on custom variables
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9365 "For consideration as a value of `vhdl-font-lock-keywords'.
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9366 This does highlighting of additional reserved words.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9367
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9368 (defconst vhdl-font-lock-keywords-5
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9369 ;; background highlight translate-off regions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9370 '((vhdl-match-translate-off (0 vhdl-font-lock-translate-off-face append)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9371 "For consideration as a value of `vhdl-font-lock-keywords'.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9372 This does background highlighting of translate-off regions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9373
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9374 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9375 ;; Font and color definitions
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9376
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9377 (defvar vhdl-font-lock-prompt-face 'vhdl-font-lock-prompt-face
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9378 "Face name to use for prompts.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9379
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9380 (defvar vhdl-font-lock-attribute-face 'vhdl-font-lock-attribute-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9381 "Face name to use for standardized attributes.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9382
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9383 (defvar vhdl-font-lock-enumvalue-face 'vhdl-font-lock-enumvalue-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9384 "Face name to use for standardized enumeration values.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9385
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9386 (defvar vhdl-font-lock-function-face 'vhdl-font-lock-function-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9387 "Face name to use for standardized functions and packages.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9388
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9389 (defvar vhdl-font-lock-directive-face 'vhdl-font-lock-directive-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9390 "Face name to use for directives.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9391
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9392 (defvar vhdl-font-lock-reserved-words-face 'vhdl-font-lock-reserved-words-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9393 "Face name to use for additional reserved words.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9394
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9395 (defvar vhdl-font-lock-translate-off-face 'vhdl-font-lock-translate-off-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9396 "Face name to use for translate-off regions.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9397
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9398 ;; face names to use for words with special syntax.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9399 (let ((syntax-alist vhdl-special-syntax-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9400 name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9401 (while syntax-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9402 (setq name (vhdl-function-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9403 "vhdl-font-lock" (nth 0 (car syntax-alist)) "face"))
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
9404 (eval `(defvar ,name ',name
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
9405 ,(concat "Face name to use for "
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
9406 (nth 0 (car syntax-alist)) ".")))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9407 (setq syntax-alist (cdr syntax-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9408
48465
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
9409 ;; add faces used from `font-lock'.
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
9410 (defgroup vhdl-highlight-faces
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
9411 '((font-lock-comment-face custom-face)
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
9412 (font-lock-string-face custom-face)
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
9413 (font-lock-keyword-face custom-face)
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
9414 (font-lock-type-face custom-face)
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
9415 (font-lock-function-name-face custom-face)
2339811a69f0 (defgroup vhdl-related): Declare the members here rather than with
Markus Rost <rost@math.uni-bielefeld.de>
parents: 47265
diff changeset
9416 (font-lock-variable-name-face custom-face))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9417 "Faces for highlighting."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9418 :group 'vhdl-highlight)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9419
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9420 (defface vhdl-font-lock-prompt-face
42455
7662f312caf2 (various face definitions): Use :weight and :slant.
Richard M. Stallman <rms@gnu.org>
parents: 42441
diff changeset
9421 '((((class color) (background light)) (:foreground "Red" :weight bold))
7662f312caf2 (various face definitions): Use :weight and :slant.
Richard M. Stallman <rms@gnu.org>
parents: 42441
diff changeset
9422 (((class color) (background dark)) (:foreground "Pink" :weight bold))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9423 (t (:inverse-video t)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9424 "Font lock mode face used to highlight prompts."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9425 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9426 :group 'font-lock-highlighting-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9427
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9428 (defface vhdl-font-lock-attribute-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9429 '((((class color) (background light)) (:foreground "Orchid"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9430 (((class color) (background dark)) (:foreground "LightSteelBlue"))
42455
7662f312caf2 (various face definitions): Use :weight and :slant.
Richard M. Stallman <rms@gnu.org>
parents: 42441
diff changeset
9431 (t (:slant italic :weight bold)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9432 "Font lock mode face used to highlight standardized attributes."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9433 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9434 :group 'font-lock-highlighting-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9435
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9436 (defface vhdl-font-lock-enumvalue-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9437 '((((class color) (background light)) (:foreground "Gold4"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9438 (((class color) (background dark)) (:foreground "BurlyWood"))
42455
7662f312caf2 (various face definitions): Use :weight and :slant.
Richard M. Stallman <rms@gnu.org>
parents: 42441
diff changeset
9439 (t (:slant italic :weight bold)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9440 "Font lock mode face used to highlight standardized enumeration values."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9441 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9442 :group 'font-lock-highlighting-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9443
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9444 (defface vhdl-font-lock-function-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9445 '((((class color) (background light)) (:foreground "Orchid4"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9446 (((class color) (background dark)) (:foreground "Orchid1"))
42455
7662f312caf2 (various face definitions): Use :weight and :slant.
Richard M. Stallman <rms@gnu.org>
parents: 42441
diff changeset
9447 (t (:slant italic :weight bold)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9448 "Font lock mode face used to highlight standardized functions and packages."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9449 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9450 :group 'font-lock-highlighting-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9451
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9452 (defface vhdl-font-lock-directive-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9453 '((((class color) (background light)) (:foreground "CadetBlue"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9454 (((class color) (background dark)) (:foreground "Aquamarine"))
42455
7662f312caf2 (various face definitions): Use :weight and :slant.
Richard M. Stallman <rms@gnu.org>
parents: 42441
diff changeset
9455 (t (:slant italic :weight bold)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9456 "Font lock mode face used to highlight directives."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9457 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9458 :group 'font-lock-highlighting-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9459
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9460 (defface vhdl-font-lock-reserved-words-face
42455
7662f312caf2 (various face definitions): Use :weight and :slant.
Richard M. Stallman <rms@gnu.org>
parents: 42441
diff changeset
9461 '((((class color) (background light)) (:foreground "Orange" :weight bold))
7662f312caf2 (various face definitions): Use :weight and :slant.
Richard M. Stallman <rms@gnu.org>
parents: 42441
diff changeset
9462 (((class color) (background dark)) (:foreground "Yellow" :weight bold))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9463 (t ()))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9464 "Font lock mode face used to highlight additional reserved words."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9465 :group 'vhdl-highlight-faces
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9466 :group 'font-lock-highlighting-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9467
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9468 (defface vhdl-font-lock-translate-off-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9469 '((((class color) (background light)) (:background "LightGray"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9470 (((class color) (background dark)) (:background "DimGray"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9471 (t ()))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9472 "Font lock mode face used to background highlight translate-off regions."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9473 :group 'vhdl-highlight-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9474 :group 'font-lock-highlighting-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9475
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9476 ;; font lock mode faces used to highlight words with special syntax.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9477 (let ((syntax-alist vhdl-special-syntax-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9478 (while syntax-alist
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
9479 (eval `(defface ,(vhdl-function-name
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
9480 "vhdl-font-lock" (car (car syntax-alist)) "face")
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
9481 '((((class color) (background light))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
9482 (:foreground ,(nth 2 (car syntax-alist))))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
9483 (((class color) (background dark))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
9484 (:foreground ,(nth 3 (car syntax-alist))))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
9485 (t ()))
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
9486 ,(concat "Font lock mode face used to highlight "
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
9487 (nth 0 (car syntax-alist)) ".")
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
9488 :group 'vhdl-highlight-faces
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
9489 :group 'font-lock-highlighting-faces))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9490 (setq syntax-alist (cdr syntax-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9491
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9492 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9493 ;; Font lock initialization
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9494
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9495 (defun vhdl-font-lock-init ()
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9496 "Initialize fontification."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9497 ;; highlight keywords and standardized types, attributes, enumeration
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9498 ;; values, and subprograms
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9499 (setq vhdl-font-lock-keywords-1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9500 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9501 (list (concat "'" vhdl-attributes-regexp)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9502 1 'vhdl-font-lock-attribute-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9503 (list vhdl-types-regexp 1 'font-lock-type-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9504 (list vhdl-functions-regexp 1 'vhdl-font-lock-function-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9505 (list vhdl-packages-regexp 1 'vhdl-font-lock-function-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9506 (list vhdl-enum-values-regexp 1 'vhdl-font-lock-enumvalue-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9507 (list vhdl-keywords-regexp 1 'font-lock-keyword-face)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9508 ;; highlight words with special syntax.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9509 (setq vhdl-font-lock-keywords-3
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9510 (let ((syntax-alist vhdl-special-syntax-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9511 keywords)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9512 (while syntax-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9513 (setq keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9514 (cons
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9515 (cons (concat "\\<\\(" (nth 1 (car syntax-alist)) "\\)\\>")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9516 (vhdl-function-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9517 "vhdl-font-lock" (nth 0 (car syntax-alist)) "face"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9518 keywords))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9519 (setq syntax-alist (cdr syntax-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9520 keywords))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9521 ;; highlight additional reserved words
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9522 (setq vhdl-font-lock-keywords-4
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9523 (list (list vhdl-reserved-words-regexp 1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9524 'vhdl-font-lock-reserved-words-face)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9525 ;; highlight everything together
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9526 (setq vhdl-font-lock-keywords
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9527 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9528 vhdl-font-lock-keywords-0
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9529 (when vhdl-highlight-keywords vhdl-font-lock-keywords-1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9530 (when (or vhdl-highlight-forbidden-words
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9531 vhdl-highlight-verilog-keywords) vhdl-font-lock-keywords-4)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9532 (when vhdl-highlight-special-words vhdl-font-lock-keywords-3)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9533 (when vhdl-highlight-names vhdl-font-lock-keywords-2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9534 (when vhdl-highlight-translate-off vhdl-font-lock-keywords-5))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9535
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9536 ;; initialize fontification for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9537 (vhdl-font-lock-init)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9538
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9539 (defun vhdl-fontify-buffer ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9540 "Re-initialize fontification and fontify buffer."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9541 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9542 (setq font-lock-defaults
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9543 (list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9544 'vhdl-font-lock-keywords nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9545 (not vhdl-highlight-case-sensitive) '((?\_ . "w")) 'beginning-of-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9546 '(font-lock-syntactic-keywords . vhdl-font-lock-syntactic-keywords)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9547 (when (fboundp 'font-lock-unset-defaults)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9548 (font-lock-unset-defaults)) ; not implemented in XEmacs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9549 (font-lock-set-defaults)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9550 (font-lock-fontify-buffer))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9551
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9552 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9553 ;; Initialization for postscript printing
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9554
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9555 (defun vhdl-ps-print-settings ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9556 "Initialize custom face and page settings for postscript printing."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9557 ;; define custom face settings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9558 (unless (or (not vhdl-print-customize-faces)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9559 ps-print-color-p)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9560 (set (make-local-variable 'ps-bold-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9561 '(font-lock-keyword-face
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9562 font-lock-type-face
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9563 vhdl-font-lock-attribute-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9564 vhdl-font-lock-enumvalue-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9565 vhdl-font-lock-directive-face))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9566 (set (make-local-variable 'ps-italic-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9567 '(font-lock-comment-face
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9568 font-lock-function-name-face
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9569 font-lock-type-face
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9570 vhdl-font-lock-attribute-face
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9571 vhdl-font-lock-enumvalue-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9572 vhdl-font-lock-directive-face))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9573 (set (make-local-variable 'ps-underlined-faces)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9574 '(font-lock-string-face))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9575 (setq ps-always-build-face-reference t))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9576 ;; define page settings, so that a line containing 79 characters (default)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
9577 ;; fits into one column
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9578 (when vhdl-print-two-column
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9579 (set (make-local-variable 'ps-landscape-mode) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9580 (set (make-local-variable 'ps-number-of-columns) 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9581 (set (make-local-variable 'ps-font-size) 7.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9582 (set (make-local-variable 'ps-header-title-font-size) 10.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9583 (set (make-local-variable 'ps-header-font-size) 9.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9584 (set (make-local-variable 'ps-header-offset) 12.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9585 (when (eq ps-paper-type 'letter)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9586 (set (make-local-variable 'ps-inter-column) 40.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9587 (set (make-local-variable 'ps-left-margin) 40.0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9588 (set (make-local-variable 'ps-right-margin) 40.0))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9589
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9590 (defun vhdl-ps-print-init ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9591 "Initialize postscript printing."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9592 (if (string-match "XEmacs" emacs-version)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9593 (vhdl-ps-print-settings)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9594 (make-local-variable 'ps-print-hook)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9595 (add-hook 'ps-print-hook 'vhdl-ps-print-settings)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9596
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9597
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9598 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9599 ;;; Hierarchy browser (using `speedbar.el')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9600 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9601 ;; Allows displaying the hierarchy of all VHDL design units contained in a
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9602 ;; directory by using the speedbar.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9603
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9604 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9605 ;; Variables
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9606
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9607 (defvar vhdl-entity-alist nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9608 "Cache with entities and corresponding architectures and configurations for
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9609 each visited directory.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9610 ;; structure: (parenthesised expression means list of such entries)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9611 ;; (directory-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9612 ;; (ent-name ent-file ent-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9613 ;; (arch-name arch-file arch-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9614 ;; (inst-name inst-file inst-line inst-ent-name inst-arch-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9615 ;; (conf-name conf-file conf-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9616
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9617 (defvar vhdl-package-alist nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9618 "Cache with packages for each visited directory.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9619 ;; structure: (parenthesised expression means list of such entries)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9620 ;; (directory-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9621 ;; (pack-name pack-file pack-line pack-body-file pack-body-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9622
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9623 (defvar vhdl-ent-inst-alist nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9624 "Cache with instantiated entities for each visited directory.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9625 ;; structure: (parenthesised expression means list of such entries)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9626 ;; (directory-name (inst-ent-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9627
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9628 (defvar vhdl-project-entity-alist nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9629 "Cache with entities and corresponding architectures and configurations for
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9630 each visited project.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9631 ;; same structure as `vhdl-entity-alist'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9632
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9633 (defvar vhdl-project-package-alist nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9634 "Cache with packages for each visited directory.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9635 ;; same structure as `vhdl-package-alist'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9636
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9637 (defvar vhdl-project-ent-inst-list nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9638 "Cache with instantiated entities for each visited directory.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9639 ;; same structure as `vhdl-ent-inst-alist'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9640
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9641 (defvar vhdl-speedbar-shown-units-alist nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9642 "Alist of design units simultaneously open in the current speedbar for each
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9643 directory and project.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9644
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9645 (defvar vhdl-speedbar-last-file-name nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9646 "Last file for which design units were highlighted.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9647
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9648 (defvar vhdl-file-alist nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9649 "Cache with design units in each file.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9650 ;; structure (parenthesised expression means list of such entries)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9651 ;; (file-name (ent-list) (arch-list) (conf-list) (pack-list) (inst-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9652
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9653 ;; help function
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9654 (defsubst vhdl-speedbar-project-p ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9655 "Return non-nil if a project is displayed, i.e. directories or files are
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9656 specified."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9657 (nth 1 (aget vhdl-project-alist vhdl-project)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9658
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9659 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9660 ;; Scan functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9661
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9662 (defun vhdl-scan-file-contents (name &optional num-string)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9663 "Scan contents of VHDL files in FILE-LIST."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9664 (string-match "\\(.*/\\)\\(.*\\)" name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9665 ; (unless (file-directory-p (match-string 1 name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9666 ; (message "No such directory: \"%s\"" (match-string 1 name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9667 (let* ((is-directory (= (match-beginning 2) (match-end 2)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9668 (file-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9669 (if is-directory
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9670 (nreverse (vhdl-get-source-files t name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9671 (vhdl-directory-files (match-string 1 name) t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9672 (wildcard-to-regexp (match-string 2 name)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9673 (case-fold-search t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9674 (source-buffer (current-buffer))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9675 ent-alist pack-alist ent-inst-list no-files)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9676 (when (and (not is-directory) (null file-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9677 (message "No such file: \"%s\"" name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9678 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9679 (when file-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9680 (setq no-files (length file-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9681 ;; do for all files
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9682 (while file-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9683 (message "Scanning %s %s\"%s\"... (%2d%s)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9684 (if is-directory "directory" "files")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9685 (or num-string "") name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9686 (/ (* 100 (- no-files (length file-list))) no-files) "%")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9687 (let ((file-name (abbreviate-file-name (car file-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9688 opened arch-name ent-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9689 ent-list arch-list conf-list pack-list inst-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9690 ;; open file
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9691 (if (find-buffer-visiting file-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9692 (set-buffer (find-buffer-visiting file-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9693 (set-buffer (find-file-noselect file-name nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9694 (setq opened t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9695 (modify-syntax-entry ?_ "w" (syntax-table))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9696 ;; scan for entities
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9697 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9698 (while (re-search-forward "^\\s-*entity\\s-+\\(\\w+\\)" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9699 (let* ((ent-entry (aget ent-alist (match-string 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9700 (arch-alist (nth 2 ent-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9701 (conf-alist (nth 3 ent-entry)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9702 (setq ent-list (cons (match-string 1) ent-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9703 (aput 'ent-alist (match-string 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9704 (list file-name (vhdl-current-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9705 arch-alist conf-alist nil))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9706 ;; scan for architectures and instantiations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9707 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9708 (while (re-search-forward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9709 (concat
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9710 "^\\s-*\\(architecture\\s-+\\(\\w+\\)\\s-+of\\s-+\\(\\w+\\)\\|"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9711 "\\(\\w+\\)\\s-*:\\(\\s-\\|\n\\)*\\(entity\\s-+\\w+\\.\\)?"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9712 "\\(\\w+\\)\\(\\s-*(\\(\\w+\\))\\)?\\(\\s-\\|\n\\|--.*\n\\)*"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9713 "\\(generic\\|port\\)\\s-+map\\>\\)")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9714 nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9715 (if (match-string 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9716 ;; architecture found
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9717 (let* ((ent-entry (aget ent-alist (match-string 3)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9718 (arch-alist (nth 2 ent-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9719 (conf-alist (nth 3 ent-entry)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9720 (setq arch-name (match-string 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9721 (setq ent-name (match-string 3))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9722 (setq arch-list (cons arch-name arch-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9723 (vhdl-aappend 'arch-alist arch-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9724 (list file-name (vhdl-current-line) nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9725 (setq ent-entry (list (nth 0 ent-entry) (nth 1 ent-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9726 arch-alist conf-alist nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9727 (aput 'ent-alist ent-name ent-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9728 ;; instantiation found
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9729 (let* ((ent-entry (aget ent-alist ent-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9730 (arch-alist (nth 2 ent-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9731 (arch-entry (aget arch-alist arch-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9732 (inst-alist (nth 2 arch-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9733 (inst-name (match-string 4))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9734 (inst-ent-name (match-string 7))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9735 (inst-arch-name (match-string 9))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9736 (conf-alist (nth 3 ent-entry)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9737 (re-search-backward ":" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9738 (setq inst-list (cons inst-name inst-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9739 (vhdl-aappend 'inst-alist inst-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9740 (list file-name (vhdl-current-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9741 inst-ent-name inst-arch-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9742 (setq arch-entry
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9743 (list (nth 0 arch-entry) (nth 1 arch-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9744 inst-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9745 (vhdl-aappend 'arch-alist arch-name arch-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9746 (setq ent-entry (list (nth 0 ent-entry) (nth 1 ent-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9747 arch-alist conf-alist nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9748 (aput 'ent-alist ent-name ent-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9749 (unless (member inst-ent-name ent-inst-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9750 (setq ent-inst-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9751 (cons inst-ent-name ent-inst-list))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9752 ;; scan for configurations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9753 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9754 (while (re-search-forward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9755 "^\\s-*configuration\\s-+\\(\\w+\\)\\s-+of\\s-+\\(\\w+\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9756 nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9757 (let* ((ent-entry (aget ent-alist (match-string 2)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9758 (arch-alist (nth 2 ent-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9759 (conf-alist (nth 3 ent-entry)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9760 (setq conf-list (cons (match-string 1) conf-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9761 (vhdl-aappend 'conf-alist (match-string 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9762 (list file-name (vhdl-current-line)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9763 (setq ent-entry (list (nth 0 ent-entry) (nth 1 ent-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9764 arch-alist conf-alist nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9765 (aput 'ent-alist (match-string 2) ent-entry)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9766 ;; scan for packages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9767 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9768 (while (re-search-forward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9769 "^\\s-*package\\s-+\\(body\\s-+\\)?\\(\\w+\\)" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9770 (let ((pack-entry (aget pack-alist (match-string 2))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9771 (setq pack-list (cons (match-string 2) pack-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9772 (aput 'pack-alist (match-string 2)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9773 (if (not (match-string 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9774 (list file-name (vhdl-current-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9775 (nth 2 pack-entry) (nth 3 pack-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9776 (list (nth 0 pack-entry) (nth 1 pack-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9777 file-name (vhdl-current-line))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9778 (setq file-list (cdr file-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9779 ;; add design units to variable `vhdl-file-alist'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9780 (aput 'vhdl-file-alist file-name
26464
7abca91f0853 Use new backquote syntax.
Gerd Moellmann <gerd@gnu.org>
parents: 24835
diff changeset
9781 (list ent-list arch-list conf-list pack-list inst-list))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9782 ;; close file
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9783 (if opened
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9784 (kill-buffer (current-buffer))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9785 (when (not vhdl-underscore-is-part-of-word)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9786 (modify-syntax-entry ?_ "_" vhdl-mode-syntax-table)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9787 (set-buffer source-buffer)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9788 ;; sort entities and packages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9789 (setq ent-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9790 (sort ent-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9791 (function (lambda (a b) (string-lessp (car a) (car b))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9792 (setq pack-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9793 (sort pack-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9794 (function (lambda (a b) (string-lessp (car a) (car b))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9795 ;; put directory contents into cache
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9796 (when ent-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9797 (aput 'vhdl-entity-alist name ent-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9798 (when pack-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9799 (aput 'vhdl-package-alist name pack-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9800 (when ent-inst-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9801 (aput 'vhdl-ent-inst-alist name (list ent-inst-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9802 (message "Scanning %s %s\"%s\"...done"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9803 (if is-directory "directory" "files") (or num-string "") name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9804 t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9805
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9806 (defun vhdl-scan-project-contents (project &optional rescan)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9807 "Scan the contents of all VHDL files found in the directories and files
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9808 of PROJECT."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9809 (let ((dir-list-tmp (nth 1 (aget vhdl-project-alist project)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9810 dir-list pro-ent-alist pro-pack-alist pro-ent-inst-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9811 dir name num-dir act-dir)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9812 ;; resolve environment variables and path wildcards
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9813 (setq dir-list-tmp (vhdl-resolve-paths dir-list-tmp))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9814 ;; expand directories
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9815 (while dir-list-tmp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9816 (setq dir (car dir-list-tmp))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9817 ;; get subdirectories
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9818 (if (string-match "-r \\(.*/\\)" dir)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9819 (setq dir-list (append dir-list (vhdl-get-subdirs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9820 (match-string 1 dir))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9821 (setq dir-list (append dir-list (list dir))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9822 (setq dir-list-tmp (cdr dir-list-tmp)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9823 ;; get entities and packages of each directory in DIR-LIST
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9824 (setq num-dir (length dir-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9825 act-dir 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9826 (while dir-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9827 (setq name (abbreviate-file-name (car dir-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9828 (or (and (not rescan)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9829 (or (assoc name vhdl-entity-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9830 (assoc name vhdl-package-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9831 (vhdl-scan-file-contents name (format "(%s/%s) " act-dir num-dir)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9832 ;; merge entities and corresponding architectures and configurations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9833 (let ((ent-alist (aget vhdl-entity-alist name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9834 (while ent-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9835 (let* ((ent-name (car (car ent-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9836 (ent-entry (cdr (car ent-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9837 (pro-ent-entry (aget pro-ent-alist ent-name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9838 (aput 'pro-ent-alist ent-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9839 (list (or (nth 0 pro-ent-entry) (nth 0 ent-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9840 (or (nth 1 pro-ent-entry) (nth 1 ent-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9841 (append (nth 2 pro-ent-entry) (nth 2 ent-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9842 (append (nth 3 pro-ent-entry) (nth 3 ent-entry)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9843 (setq ent-alist (cdr ent-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9844 ;; merge packages and corresponding package bodies
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9845 (let ((pack-alist (aget vhdl-package-alist name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9846 (while pack-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9847 (let* ((pack-name (car (car pack-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9848 (pack-entry (cdr (car pack-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9849 (pro-pack-entry (aget pro-pack-alist pack-name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9850 (aput 'pro-pack-alist pack-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9851 (list (or (nth 0 pro-pack-entry) (nth 0 pack-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9852 (or (nth 1 pro-pack-entry) (nth 1 pack-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9853 (or (nth 2 pro-pack-entry) (nth 2 pack-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9854 (or (nth 3 pro-pack-entry) (nth 3 pack-entry)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9855 (setq pack-alist (cdr pack-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9856 ;; merge list of instantiated entities
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9857 (setq pro-ent-inst-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9858 (append pro-ent-inst-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9859 (copy-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9860 (car (aget vhdl-ent-inst-alist name)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9861 (setq dir-list (cdr dir-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9862 act-dir (1+ act-dir)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9863 ;; sort lists and put them into the caches
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9864 (when pro-ent-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9865 (aput 'vhdl-project-entity-alist project
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9866 (sort pro-ent-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9867 (function (lambda (a b) (string-lessp (car a) (car b)))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9868 (when pro-pack-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9869 (aput 'vhdl-project-package-alist project
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9870 (sort pro-pack-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9871 (function (lambda (a b) (string-lessp (car a) (car b)))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9872 (when pro-ent-inst-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9873 (aput 'vhdl-project-ent-inst-list project pro-ent-inst-list))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9874
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9875 (defun vhdl-get-hierarchy (ent-name arch-name level indent &optional ent-hier)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9876 "Get instantiation hierarchy beginning in architecture ARCH-NAME of
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9877 entity ENT-NAME."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9878 (let* ((ent-alist (if (vhdl-speedbar-project-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9879 (aget vhdl-project-entity-alist vhdl-project)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9880 (aget vhdl-entity-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9881 (abbreviate-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9882 (file-name-as-directory
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9883 (speedbar-line-path (1- indent)))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9884 (ent-entry (aget ent-alist ent-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9885 (arch-entry (if arch-name (aget (nth 2 ent-entry) arch-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9886 (cdr (car (last (nth 2 ent-entry))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9887 (inst-list (nth 2 arch-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9888 inst-entry inst-ent-entry inst-arch-entry hier-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9889 (when (= level 0) (message "Extract design hierarchy..."))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9890 (when (member ent-name ent-hier)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9891 (error (format "Instantiation loop detected; component \"%s\" instantiates itself"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9892 ent-name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9893 (while inst-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9894 (setq inst-entry (car inst-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9895 (setq inst-ent-entry (aget ent-alist (nth 3 inst-entry)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9896 (setq inst-arch-entry
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9897 (if (nth 4 inst-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9898 (cons (nth 4 inst-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9899 (aget (nth 2 inst-ent-entry) (nth 4 inst-entry)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9900 (car (last (nth 2 inst-ent-entry)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9901 (setq hier-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9902 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9903 hier-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9904 (cons (list (nth 0 inst-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9905 (cons (nth 1 inst-entry) (nth 2 inst-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9906 (nth 3 inst-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9907 (cons (nth 0 inst-ent-entry) (nth 1 inst-ent-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9908 (nth 0 inst-arch-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9909 (cons (nth 1 inst-arch-entry) (nth 2 inst-arch-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9910 level)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9911 (vhdl-get-hierarchy (nth 3 inst-entry) (nth 4 inst-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9912 (1+ level) indent
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9913 (cons ent-name ent-hier)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9914 (setq inst-list (cdr inst-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9915 (when (= level 0) (message "Extract design hierarchy...done"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9916 hier-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9917
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9918 (defun vhdl-get-instantiations (ent-name indent)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9919 "Get all instantiations of entity ENT-NAME."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9920 (let ((ent-alist (if (vhdl-speedbar-project-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9921 (aget vhdl-project-entity-alist vhdl-project)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9922 (aget vhdl-entity-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9923 (abbreviate-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9924 (file-name-as-directory
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9925 (speedbar-line-path indent))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9926 arch-alist inst-alist ent-inst-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9927 ent-entry arch-entry inst-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9928 (while ent-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9929 (setq ent-entry (car ent-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9930 (setq arch-alist (nth 3 ent-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9931 (while arch-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9932 (setq arch-entry (car arch-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9933 (setq inst-alist (nth 3 arch-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9934 (while inst-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9935 (setq inst-entry (car inst-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9936 (when (equal ent-name (nth 3 inst-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9937 (setq ent-inst-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9938 (cons (list (nth 0 inst-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9939 (cons (nth 1 inst-entry) (nth 2 inst-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9940 (nth 0 ent-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9941 (cons (nth 1 ent-entry) (nth 2 ent-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9942 (nth 0 arch-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9943 (cons (nth 1 arch-entry) (nth 2 arch-entry)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9944 ent-inst-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9945 (setq inst-alist (cdr inst-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9946 (setq arch-alist (cdr arch-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9947 (setq ent-alist (cdr ent-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9948 (nreverse ent-inst-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9949
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9950 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9951 ;; Add hierarchy browser functionality to speedbar.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9952
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9953 (defvar vhdl-speedbar-key-map nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9954 "Keymap used when in the VHDL hierarchy browser mode.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9955
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9956 (defvar vhdl-speedbar-menu-items
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9957 '(["Edit Design Unit" speedbar-edit-line t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9958 ["Expand Hierarchy" speedbar-expand-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9959 (save-excursion (beginning-of-line) (looking-at "[0-9]+: *.\\+. "))]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9960 ["Contract Hierarchy" speedbar-contract-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9961 (save-excursion (beginning-of-line) (looking-at "[0-9]+: *.-. "))]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9962 ["Rescan Hierarchy" vhdl-speedbar-rescan-hierarchy t]
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9963 "--"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9964 ["Copy Port" vhdl-speedbar-port-copy
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9965 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9966 (beginning-of-line) (looking-at "[0-9]+: *\\[[-+?]\\] "))])
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9967 "Additional menu-items to add to speedbar frame.")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9968
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9969 (defun vhdl-speedbar-initialize ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9970 "Initialize speedbar."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9971 ;; general settings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9972 ; (set (make-local-variable 'speedbar-tag-hierarchy-method) nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9973 ;; VHDL file extensions (extracted from `auto-mode-alist')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9974 (let ((mode-alist auto-mode-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9975 (while mode-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9976 (when (eq (cdr (car mode-alist)) 'vhdl-mode)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9977 (speedbar-add-supported-extension (car (car mode-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9978 (setq mode-alist (cdr mode-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9979 ;; hierarchy browser settings
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9980 (when (boundp 'speedbar-mode-functions-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9981 (speedbar-add-mode-functions-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9982 '("vhdl hierarchy"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9983 (speedbar-item-info . vhdl-speedbar-item-info)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9984 (speedbar-line-path . speedbar-files-line-path)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9985 (unless vhdl-speedbar-key-map
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9986 (setq vhdl-speedbar-key-map (speedbar-make-specialized-keymap))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9987 (define-key vhdl-speedbar-key-map "e" 'speedbar-edit-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9988 (define-key vhdl-speedbar-key-map "\C-m" 'speedbar-edit-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9989 (define-key vhdl-speedbar-key-map "+" 'speedbar-expand-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9990 (define-key vhdl-speedbar-key-map "-" 'speedbar-contract-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9991 (define-key vhdl-speedbar-key-map "s" 'vhdl-speedbar-rescan-hierarchy)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9992 (define-key vhdl-speedbar-key-map "c" 'vhdl-speedbar-port-copy))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9993 (define-key speedbar-key-map "h"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9994 (lambda () (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9995 (speedbar-change-initial-expansion-list "vhdl hierarchy")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9996 (speedbar-add-expansion-list '("vhdl hierarchy" vhdl-speedbar-menu-items
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9997 vhdl-speedbar-key-map
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9998 vhdl-speedbar-display-hierarchy))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
9999 (setq speedbar-stealthy-function-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10000 (cons '("vhdl hierarchy" vhdl-speedbar-update-current-unit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10001 speedbar-stealthy-function-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10002 (when vhdl-speedbar-show-hierarchy
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10003 (setq speedbar-initial-expansion-list-name "vhdl hierarchy"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10004
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10005 (defun vhdl-speedbar (&optional arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10006 "Open/close speedbar."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10007 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10008 (if (not (fboundp 'speedbar))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10009 (error "WARNING: Speedbar is only available in newer Emacs versions")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10010 (condition-case () ; due to bug in `speedbar-el' v0.7.2a
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10011 (speedbar-frame-mode arg)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10012 (error (error "WARNING: Install included `speedbar.el' patch first")))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10013
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10014 ;; initialize speedbar for VHDL Mode
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10015 (if (not (boundp 'speedbar-frame))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10016 (add-hook 'speedbar-load-hook 'vhdl-speedbar-initialize)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10017 (vhdl-speedbar-initialize)
24835
9b89e017d20a (vhdl-port-copy): Fix parsing bug.
Karl Heuer <kwzh@gnu.org>
parents: 24732
diff changeset
10018 (when speedbar-frame (speedbar-refresh)))
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10019
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10020 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10021 ;; Display functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10022
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10023 ;; macros must be defined in the file they are used (copied from `speedbar.el')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10024 (defmacro speedbar-with-writable (&rest forms)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10025 "Allow the buffer to be writable and evaluate FORMS."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10026 (list 'let '((inhibit-read-only t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10027 (cons 'progn forms)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10028 (put 'speedbar-with-writable 'lisp-indent-function 0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10029
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10030 (defun vhdl-speedbar-display-hierarchy (directory depth &optional rescan)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10031 "Display directory and hierarchy information in speedbar."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10032 (setq directory (abbreviate-file-name (file-name-as-directory directory)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10033 (setq speedbar-last-selected-file nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10034 (speedbar-with-writable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10035 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10036 (if (vhdl-speedbar-project-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10037 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10038 ;; insert project title
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10039 (vhdl-speedbar-make-title-line "Project:" 0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10040 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10041 (insert "p:")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10042 (put-text-property start (point) 'invisible t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10043 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10044 (insert vhdl-project)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10045 (put-text-property start (point) 'face 'speedbar-directory-face))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10046 (insert-char ?\n 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10047 ;; scan and insert hierarchy of project
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10048 (vhdl-speedbar-insert-project-hierarchy vhdl-project
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10049 speedbar-power-click))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10050 ;; insert directory path
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10051 (speedbar-directory-buttons directory depth)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10052 ;; insert subdirectories
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10053 (vhdl-speedbar-insert-dirs (speedbar-file-lists directory) depth)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10054 ;; scan and insert hierarchy of current directory
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10055 (vhdl-speedbar-insert-dir-hierarchy directory depth
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10056 speedbar-power-click)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10057 ;; expand subdirectories
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10058 (when (= depth 0) (vhdl-speedbar-expand-dirs directory))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10059
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10060 (defun vhdl-speedbar-insert-hierarchy (ent-alist pack-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10061 ent-inst-list depth)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10062 "Insert hierarchy of ENT-ALIST and PACK-ALIST."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10063 (if (not (or ent-alist pack-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10064 (vhdl-speedbar-make-title-line "No design units!" depth)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10065 (let (ent-entry pack-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10066 ;; insert entities
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10067 (when ent-alist (vhdl-speedbar-make-title-line "Entities:" depth))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10068 (while ent-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10069 (setq ent-entry (car ent-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10070 (speedbar-make-tag-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10071 'bracket ?+ 'vhdl-speedbar-expand-entity (nth 0 ent-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10072 (nth 0 ent-entry) 'vhdl-speedbar-find-file
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10073 (cons (nth 1 ent-entry) (nth 2 ent-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10074 'vhdl-speedbar-entity-face depth)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10075 (when (not (member (nth 0 ent-entry) ent-inst-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10076 (end-of-line 0) (insert " (top)") (forward-char 1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10077 (setq ent-alist (cdr ent-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10078 ;; insert packages
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10079 (when pack-alist (vhdl-speedbar-make-title-line "Packages:" depth))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10080 (while pack-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10081 (setq pack-entry (car pack-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10082 (vhdl-speedbar-make-pack-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10083 (nth 0 pack-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10084 (cons (nth 1 pack-entry) (nth 2 pack-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10085 (cons (nth 3 pack-entry) (nth 4 pack-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10086 depth)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10087 (setq pack-alist (cdr pack-alist))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10088
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10089 (defun vhdl-speedbar-insert-project-hierarchy (project &optional rescan)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10090 "Insert hierarchy of project. Rescan directories if RESCAN is non-nil,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10091 otherwise use cached data of directories."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10092 (when (or rescan (and (not (assoc project vhdl-project-entity-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10093 (not (assoc project vhdl-project-package-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10094 (vhdl-scan-project-contents project rescan))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10095 ;; insert design hierarchy in speedbar
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10096 (vhdl-speedbar-insert-hierarchy
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10097 (aget vhdl-project-entity-alist project)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10098 (aget vhdl-project-package-alist project)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10099 (aget vhdl-project-ent-inst-list project) 0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10100 ;; expand design units
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10101 (vhdl-speedbar-expand-units project))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10102
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10103 (defun vhdl-speedbar-insert-dir-hierarchy (directory depth &optional rescan)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10104 "Insert hierarchy of DIRECTORY. Rescan directory if RESCAN is non-nil,
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10105 otherwise use cached data."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10106 (when (or rescan (and (not (assoc directory vhdl-entity-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10107 (not (assoc directory vhdl-package-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10108 (vhdl-scan-file-contents directory))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10109 (vhdl-speedbar-insert-hierarchy
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10110 (aget vhdl-entity-alist directory)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10111 (aget vhdl-package-alist directory)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10112 (car (aget vhdl-ent-inst-alist directory))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10113 depth)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10114 (vhdl-speedbar-expand-units directory))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10115
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10116 (defun vhdl-speedbar-rescan-hierarchy ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10117 "Rescan hierarchy for the directory under the cursor or the current project."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10118 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10119 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10120 ;; the current project
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10121 ((vhdl-speedbar-project-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10122 (vhdl-scan-project-contents vhdl-project t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10123 (speedbar-refresh))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10124 ;; the top-level directory
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10125 ((save-excursion (beginning-of-line) (looking-at "[^0-9]"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10126 (re-search-forward "[0-9]+:" nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10127 (vhdl-scan-file-contents (abbreviate-file-name (speedbar-line-path)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10128 (speedbar-refresh))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10129 ;; the current directory
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10130 (t (let ((path (speedbar-line-path)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10131 (string-match "^\\(.+/\\)" path)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10132 (vhdl-scan-file-contents (abbreviate-file-name (match-string 1 path)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10133 (speedbar-refresh)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10134
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10135 (defun vhdl-speedbar-expand-dirs (directory)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10136 "Expand subdirectories in DIRECTORY according to
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10137 `speedbar-shown-directories'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10138 ;; (nicked from `speedbar-default-directory-list')
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10139 (let ((sf (cdr (reverse speedbar-shown-directories))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10140 (setq speedbar-shown-directories
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10141 (list (expand-file-name default-directory)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10142 (while sf
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10143 (when (speedbar-goto-this-file (car sf))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10144 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10145 (when (looking-at "[0-9]+:\\s-*<")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10146 (goto-char (match-end 0))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10147 (let* ((position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10148 (directory (abbreviate-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10149 (file-name-as-directory (speedbar-line-file)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10150 (speedbar-do-function-pointer))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10151 (setq sf (cdr sf)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10152
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10153 (defun vhdl-speedbar-expand-units (directory)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10154 "Expand design units in DIRECTORY according to
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10155 `vhdl-speedbar-shown-units-alist'."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10156 (let ((ent-alist (aget vhdl-speedbar-shown-units-alist directory)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10157 (adelete 'vhdl-speedbar-shown-units-alist directory)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10158 (while ent-alist ; expand entities
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10159 (vhdl-speedbar-goto-this-unit directory (car (car ent-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10160 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10161 (let ((arch-alist (nth 1 (car ent-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10162 position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10163 (when (looking-at "[0-9]+:\\s-*\\[")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10164 (goto-char (match-end 0))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10165 (setq position (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10166 (speedbar-do-function-pointer)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10167 (while arch-alist ; expand architectures
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10168 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10169 (when (re-search-forward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10170 (concat "[0-9]+:\\s-*\\(\\[\\|{.}\\s-+"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10171 (car arch-alist) "\\>\\)") nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10172 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10173 (when (looking-at "[0-9]+:\\s-*{")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10174 (goto-char (match-end 0))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10175 (speedbar-do-function-pointer)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10176 (setq arch-alist (cdr arch-alist))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10177 (setq ent-alist (cdr ent-alist))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10178
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10179 (defun vhdl-speedbar-expand-entity (text token indent)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10180 "Expand/contract the entity under the cursor."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10181 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10182 ((string-match "+" text) ; expand entity
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10183 (let* ((ent-alist (if (vhdl-speedbar-project-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10184 (aget vhdl-project-entity-alist vhdl-project)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10185 (aget vhdl-entity-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10186 (abbreviate-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10187 (file-name-as-directory
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10188 (speedbar-line-path indent))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10189 (arch-alist (nth 2 (aget ent-alist token)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10190 (conf-alist (nth 3 (aget ent-alist token)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10191 (inst-alist (vhdl-get-instantiations token indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10192 arch-entry conf-entry inst-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10193 (if (not (or arch-alist conf-alist inst-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10194 (speedbar-change-expand-button-char ??)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10195 (speedbar-change-expand-button-char ?-)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10196 ;; add entity to `vhdl-speedbar-shown-units-alist'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10197 (let* ((directory (if (vhdl-speedbar-project-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10198 vhdl-project
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10199 (abbreviate-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10200 (file-name-as-directory (speedbar-line-path)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10201 (ent-alist (aget vhdl-speedbar-shown-units-alist directory)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10202 (aput 'ent-alist (speedbar-line-text) nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10203 (aput 'vhdl-speedbar-shown-units-alist directory ent-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10204 (speedbar-with-writable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10205 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10206 (end-of-line) (forward-char 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10207 ;; insert architectures
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10208 (when arch-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10209 (vhdl-speedbar-make-title-line "Architectures:" (1+ indent)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10210 (while arch-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10211 (setq arch-entry (car arch-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10212 (speedbar-make-tag-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10213 'curly ?+ 'vhdl-speedbar-expand-architecture
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10214 (cons token (nth 0 arch-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10215 (nth 0 arch-entry) 'vhdl-speedbar-find-file
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10216 (cons (nth 1 arch-entry) (nth 2 arch-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10217 'vhdl-speedbar-architecture-face (1+ indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10218 (setq arch-alist (cdr arch-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10219 ;; insert configurations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10220 (when conf-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10221 (vhdl-speedbar-make-title-line "Configurations:" (1+ indent)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10222 (while conf-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10223 (setq conf-entry (car conf-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10224 (speedbar-make-tag-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10225 nil nil nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10226 (cons token (nth 0 conf-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10227 (nth 0 conf-entry) 'vhdl-speedbar-find-file
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10228 (cons (nth 1 conf-entry) (nth 2 conf-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10229 'vhdl-speedbar-configuration-face (1+ indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10230 (setq conf-alist (cdr conf-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10231 ;; insert instantiations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10232 (when inst-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10233 (vhdl-speedbar-make-title-line "Instantiations:" (1+ indent)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10234 (while inst-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10235 (setq inst-entry (car inst-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10236 (vhdl-speedbar-make-inst-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10237 (nth 0 inst-entry) (nth 1 inst-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10238 (nth 2 inst-entry) (nth 3 inst-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10239 (nth 4 inst-entry) (nth 5 inst-entry) (1+ indent) 0)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10240 (setq inst-alist (cdr inst-alist)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10241 (setq speedbar-last-selected-file nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10242 (save-excursion (speedbar-stealthy-updates)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10243 ((string-match "-" text) ; contract entity
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10244 (speedbar-change-expand-button-char ?+)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10245 ;; remove entity from `vhdl-speedbar-shown-units-alist'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10246 (let* ((directory (if (vhdl-speedbar-project-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10247 vhdl-project
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10248 (abbreviate-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10249 (file-name-as-directory (speedbar-line-path)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10250 (ent-alist (aget vhdl-speedbar-shown-units-alist directory)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10251 (adelete 'ent-alist (speedbar-line-text))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10252 (if ent-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10253 (aput 'vhdl-speedbar-shown-units-alist directory ent-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10254 (adelete 'vhdl-speedbar-shown-units-alist directory)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10255 (speedbar-delete-subblock indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10256 (t (error "No architectures, configurations, nor instantiations exist for this entity")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10257 (speedbar-center-buffer-smartly))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10258
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10259 (defun vhdl-speedbar-expand-architecture (text token indent)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10260 "Expand/contract the architecture under the cursor."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10261 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10262 ((string-match "+" text) ; expand architecture
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10263 (let ((hier-alist (vhdl-get-hierarchy (car token) (cdr token) 0 indent)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10264 (if (not hier-alist)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10265 (speedbar-change-expand-button-char ??)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10266 (speedbar-change-expand-button-char ?-)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10267 ;; add architecture to `vhdl-speedbar-shown-units-alist'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10268 (let* ((path (speedbar-line-path))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10269 (dummy (string-match "^\\(.+/\\)\\([^/ ]+\\)" path))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10270 (ent-name (match-string 2 path))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10271 (directory (if (vhdl-speedbar-project-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10272 vhdl-project
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10273 (abbreviate-file-name (match-string 1 path))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10274 (ent-alist (aget vhdl-speedbar-shown-units-alist directory))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10275 (arch-alist (nth 0 (aget ent-alist ent-name t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10276 (aput 'ent-alist ent-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10277 (list (cons (speedbar-line-text) arch-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10278 (aput 'vhdl-speedbar-shown-units-alist directory ent-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10279 (speedbar-with-writable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10280 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10281 (end-of-line) (forward-char 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10282 ;; insert instance hierarchy
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10283 (when hier-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10284 (vhdl-speedbar-make-title-line "Subcomponents:" (1+ indent)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10285 (while hier-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10286 (let ((entry (car hier-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10287 (vhdl-speedbar-make-inst-line
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10288 (nth 0 entry) (nth 1 entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10289 (nth 2 entry) (nth 3 entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10290 (nth 4 entry) (nth 5 entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10291 (1+ indent) (nth 6 entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10292 (setq hier-alist (cdr hier-alist))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10293 (setq speedbar-last-selected-file nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10294 (save-excursion (speedbar-stealthy-updates)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10295 ((string-match "-" text) ; contract architecture
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10296 (speedbar-change-expand-button-char ?+)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10297 ;; remove architecture from `vhdl-speedbar-shown-units-alist'
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10298 (let* ((path (speedbar-line-path))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10299 (dummy (string-match "^\\(.+/\\)\\([^/ ]+\\)" path))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10300 (ent-name (match-string 2 path))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10301 (directory (if (vhdl-speedbar-project-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10302 vhdl-project
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10303 (abbreviate-file-name (match-string 1 path))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10304 (ent-alist (aget vhdl-speedbar-shown-units-alist directory))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10305 (arch-alist (nth 0 (aget ent-alist ent-name t))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10306 (aput 'ent-alist ent-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10307 (list (delete (speedbar-line-text) arch-alist)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10308 (aput 'vhdl-speedbar-shown-units-alist directory ent-alist))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10309 (speedbar-delete-subblock indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10310 (t (error "No component instantiations contained in this architecture")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10311 (speedbar-center-buffer-smartly))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10312
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10313 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10314 ;; Display help functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10315
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10316 (defun vhdl-speedbar-update-current-unit (&optional no-position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10317 "Highlight all design units that are contained in the current file.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10318 NO-POSITION non-nil means do not re-position cursor."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10319 (let ((last-frame (selected-frame))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10320 file-name position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10321 ;; get current file name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10322 (select-frame speedbar-attached-frame)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10323 (setq file-name (abbreviate-file-name (or (buffer-file-name) "")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10324 (unless (equal file-name speedbar-last-selected-file)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10325 (select-frame speedbar-frame)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10326 (set-buffer speedbar-buffer)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10327 (speedbar-with-writable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10328 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10329 ;; unhighlight last units
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10330 (let* ((file-entry
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10331 (aget vhdl-file-alist speedbar-last-selected-file)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10332 (vhdl-speedbar-update-units
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10333 "\\[.\\]" (nth 0 file-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10334 speedbar-last-selected-file 'vhdl-speedbar-entity-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10335 (vhdl-speedbar-update-units
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10336 "{.}" (nth 1 file-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10337 speedbar-last-selected-file 'vhdl-speedbar-architecture-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10338 (vhdl-speedbar-update-units
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10339 ">" (nth 2 file-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10340 speedbar-last-selected-file 'vhdl-speedbar-configuration-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10341 (vhdl-speedbar-update-units
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10342 ">" (nth 3 file-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10343 speedbar-last-selected-file 'vhdl-speedbar-package-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10344 (vhdl-speedbar-update-units
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10345 ">" (nth 4 file-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10346 speedbar-last-selected-file 'vhdl-speedbar-instantiation-face))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10347 ;; highlight current units
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10348 (let* ((file-entry (aget vhdl-file-alist file-name)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10349 (vhdl-speedbar-update-units
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10350 "\\[.\\]" (nth 0 file-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10351 file-name 'vhdl-speedbar-entity-selected-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10352 (setq position (or position (point-marker)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10353 (vhdl-speedbar-update-units
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10354 "{.}" (nth 1 file-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10355 file-name 'vhdl-speedbar-architecture-selected-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10356 (setq position (or position (point-marker)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10357 (vhdl-speedbar-update-units
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10358 ">" (nth 2 file-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10359 file-name 'vhdl-speedbar-configuration-selected-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10360 (setq position (or position (point-marker)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10361 (vhdl-speedbar-update-units
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10362 ">" (nth 3 file-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10363 file-name 'vhdl-speedbar-package-selected-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10364 (setq position (or position (point-marker)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10365 (vhdl-speedbar-update-units
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10366 ">" (nth 4 file-entry)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10367 file-name 'vhdl-speedbar-instantiation-selected-face))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10368 (setq position (or position (point-marker)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10369 ;; move speedbar so the first highlighted unit is visible
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10370 (when (and position (not no-position))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10371 (goto-char position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10372 (speedbar-center-buffer-smartly)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10373 (speedbar-position-cursor-on-line))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10374 (setq speedbar-last-selected-file file-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10375 (select-frame last-frame)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10376 t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10377
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10378 (defun vhdl-speedbar-update-units (text unit-list file-name face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10379 "Help function to highlight design units."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10380 (let (position)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10381 (while unit-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10382 (goto-char (point-min))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10383 (while (re-search-forward
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10384 (concat text " \\(" (car unit-list) "\\)\\>") nil t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10385 (when (equal file-name (car (get-text-property
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10386 (match-beginning 1) 'speedbar-token)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10387 (setq position (or position (point-marker)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10388 (put-text-property (match-beginning 1) (match-end 1) 'face face)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10389 (setq unit-list (cdr unit-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10390 (when position (goto-char position))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10391
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10392 (defun vhdl-speedbar-make-inst-line (inst-name inst-file-marker
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10393 ent-name ent-file-marker
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10394 arch-name arch-file-marker
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10395 depth offset)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10396 "Insert instantiation entry."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10397 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10398 (insert (int-to-string depth) ":")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10399 (put-text-property start (point) 'invisible t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10400 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10401 (insert-char ? (+ depth (* offset vhdl-speedbar-hierarchy-indent)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10402 (insert "> ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10403 (put-text-property start (point) 'invisible nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10404 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10405 (insert inst-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10406 (speedbar-make-button
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10407 start (point) 'vhdl-speedbar-instantiation-face 'speedbar-highlight-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10408 'vhdl-speedbar-find-file inst-file-marker)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10409 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10410 (insert ": ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10411 (put-text-property start (point) 'invisible nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10412 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10413 (insert ent-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10414 (speedbar-make-button
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10415 start (point) 'vhdl-speedbar-entity-face 'speedbar-highlight-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10416 'vhdl-speedbar-find-file ent-file-marker)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10417 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10418 (when arch-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10419 (insert " (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10420 (put-text-property start (point) 'invisible nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10421 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10422 (insert arch-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10423 (speedbar-make-button
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10424 start (point) 'vhdl-speedbar-architecture-face 'speedbar-highlight-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10425 'vhdl-speedbar-find-file arch-file-marker)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10426 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10427 (insert ")"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10428 (put-text-property start (point) 'invisible nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10429 (insert-char ?\n 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10430 (put-text-property (1- (point)) (point) 'invisible nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10431
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10432 (defun vhdl-speedbar-make-pack-line (pack-name pack-file-marker
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10433 body-file-marker depth)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10434 "Insert package entry."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10435 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10436 (insert (int-to-string depth) ":")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10437 (put-text-property start (point) 'invisible t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10438 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10439 (insert-char ? depth)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10440 (insert "> ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10441 (put-text-property start (point) 'invisible nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10442 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10443 (insert pack-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10444 (speedbar-make-button
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10445 start (point) 'vhdl-speedbar-package-face 'speedbar-highlight-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10446 'vhdl-speedbar-find-file pack-file-marker)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10447 (when (car body-file-marker)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10448 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10449 (insert " (")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10450 (put-text-property start (point) 'invisible nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10451 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10452 (insert "body")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10453 (speedbar-make-button
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10454 start (point) 'vhdl-speedbar-package-face 'speedbar-highlight-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10455 'vhdl-speedbar-find-file body-file-marker)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10456 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10457 (insert ")")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10458 (put-text-property start (point) 'invisible nil))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10459 (insert-char ?\n 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10460 (put-text-property (1- (point)) (point) 'invisible nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10461
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10462 (defun vhdl-speedbar-make-title-line (text depth)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10463 "Insert design unit title entry."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10464 (let ((start (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10465 (insert (int-to-string depth) ":")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10466 (put-text-property start (point) 'invisible t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10467 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10468 (insert-char ? depth)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10469 (put-text-property start (point) 'invisible nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10470 (setq start (point))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10471 (insert text)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10472 (speedbar-make-button start (point) nil nil nil nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10473 (insert-char ?\n 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10474 (put-text-property start (point) 'invisible nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10475
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10476 (defun vhdl-speedbar-insert-dirs (files level)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10477 "Insert subdirectories."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10478 (let ((dirs (car files)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10479 (while dirs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10480 (speedbar-make-tag-line 'angle ?+ 'vhdl-speedbar-dired (car dirs)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10481 (car dirs) 'speedbar-dir-follow nil
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10482 'speedbar-directory-face level)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10483 (setq dirs (cdr dirs)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10484
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10485 (defun vhdl-speedbar-dired (text token indent)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10486 "Speedbar click handler for directory expand button in hierarchy mode."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10487 (cond ((string-match "+" text) ; we have to expand this dir
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10488 (setq speedbar-shown-directories
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10489 (cons (expand-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10490 (concat (speedbar-line-path indent) token "/"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10491 speedbar-shown-directories))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10492 (speedbar-change-expand-button-char ?-)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10493 (speedbar-reset-scanners)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10494 (speedbar-with-writable
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10495 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10496 (end-of-line) (forward-char 1)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10497 (vhdl-speedbar-insert-dirs
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10498 (speedbar-file-lists
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10499 (concat (speedbar-line-path indent) token "/"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10500 (1+ indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10501 (speedbar-reset-scanners)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10502 (vhdl-speedbar-insert-dir-hierarchy
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10503 (abbreviate-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10504 (concat (speedbar-line-path indent) token "/"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10505 (1+ indent) speedbar-power-click)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10506 (setq speedbar-last-selected-file nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10507 (save-excursion (speedbar-stealthy-updates)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10508 ((string-match "-" text) ; we have to contract this node
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10509 (speedbar-reset-scanners)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10510 (let ((oldl speedbar-shown-directories)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10511 (newl nil)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10512 (td (expand-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10513 (concat (speedbar-line-path indent) token))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10514 (while oldl
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10515 (if (not (string-match (concat "^" (regexp-quote td)) (car oldl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10516 (setq newl (cons (car oldl) newl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10517 (setq oldl (cdr oldl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10518 (setq speedbar-shown-directories (nreverse newl)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10519 (speedbar-change-expand-button-char ?+)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10520 (speedbar-delete-subblock indent))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10521 (t (error "Ooops... not sure what to do")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10522 (speedbar-center-buffer-smartly))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10523
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10524 (defun vhdl-speedbar-item-info ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10525 "Derive and display information about this line item."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10526 (save-excursion
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10527 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10528 ;; skip invisible number info
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10529 (when (looking-at "[0-9]+:") (goto-char (match-end 0)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10530 (when (looking-at "p:")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10531 (message "Project \"%s\""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10532 (nth 0 (aget vhdl-project-alist vhdl-project))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10533 (cond
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10534 ;; directory entry
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10535 ((looking-at "\\s-*<[-+?]> ") (speedbar-files-item-info))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10536 ;; design unit entry
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10537 ((looking-at "\\s-*\\([[{][-+?][]}]\\|>\\) ")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10538 (goto-char (match-end 0))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10539 (let ((face (get-text-property (point) 'face)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10540 (message
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10541 "%s \"%s\" in \"%s\""
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10542 ;; design unit kind
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10543 (cond ((or (eq face 'vhdl-speedbar-entity-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10544 (eq face 'vhdl-speedbar-entity-selected-face))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10545 "Entity")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10546 ((or (eq face 'vhdl-speedbar-architecture-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10547 (eq face 'vhdl-speedbar-architecture-selected-face))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10548 "Architecture")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10549 ((or (eq face 'vhdl-speedbar-configuration-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10550 (eq face 'vhdl-speedbar-configuration-selected-face))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10551 "Configuration")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10552 ((or (eq face 'vhdl-speedbar-package-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10553 (eq face 'vhdl-speedbar-package-selected-face))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10554 "Package")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10555 ((or (eq face 'vhdl-speedbar-instantiation-face)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10556 (eq face 'vhdl-speedbar-instantiation-selected-face))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10557 "Instantiation")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10558 (t ""))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10559 ;; design unit name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10560 (buffer-substring-no-properties
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10561 (point) (progn (looking-at"\\(\\w\\|_\\)+") (match-end 0)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10562 ;; file name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10563 (abbreviate-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10564 (or (car (get-text-property (point) 'speedbar-token)) "?"))))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10565
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10566 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10567 ;; Help functions
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10568
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10569 (defun vhdl-get-subdirs (directory)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10570 "Recursively get subdirectories of DIRECTORY."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10571 (let ((dir-list (list (file-name-as-directory directory)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10572 subdir-list file-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10573 (setq file-list (vhdl-directory-files directory t "\\w.*"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10574 (while file-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10575 (when (file-directory-p (car file-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10576 (setq dir-list (append dir-list (vhdl-get-subdirs (car file-list)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10577 (setq file-list (cdr file-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10578 dir-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10579
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10580 (defun vhdl-resolve-paths (path-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10581 "Resolve environment variables and path wildcards in PATH-LIST."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10582 (let (path-list-1 path-list-2 path-list-3 path-beg path-end dir)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10583 ;; resolve environment variables
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10584 (while path-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10585 (setq dir (car path-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10586 (while (string-match "\\(.*\\)${?\\(\\(\\w\\|_\\)+\\)}?\\(.*\\)" dir)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10587 (setq dir (concat (match-string 1 dir) (getenv (match-string 2 dir))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10588 (match-string 4 dir))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10589 (setq path-list-1 (cons dir path-list-1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10590 (setq path-list (cdr path-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10591 ;; eliminate non-existent directories
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10592 (while path-list-1
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10593 (setq dir (car path-list-1))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10594 (string-match "\\(-r \\)?\\(\\([^?*]*/\\)*\\)" dir)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10595 (if (file-directory-p (match-string 2 dir))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10596 (setq path-list-2 (cons dir path-list-2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10597 (message "No such directory: \"%s\"" (match-string 2 dir)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10598 (setq path-list-1 (cdr path-list-1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10599 ;; resolve path wildcards
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10600 (while path-list-2
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10601 (setq dir (car path-list-2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10602 (if (string-match
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10603 "\\(-r \\)?\\(\\([^?*]*/\\)*\\)\\([^/]*[?*][^/]*\\)\\(/.*\\)" dir)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10604 (progn
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10605 (setq path-beg (match-string 1 dir)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10606 path-end (match-string 5 dir))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10607 (setq path-list-2
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10608 (append
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10609 (mapcar
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10610 (function
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10611 (lambda (var) (concat path-beg var path-end)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10612 (let ((all-list (vhdl-directory-files
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10613 (match-string 2 dir) t
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10614 (concat "\\<" (wildcard-to-regexp
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10615 (match-string 4 dir)))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10616 dir-list)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10617 (while all-list
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10618 (when (file-directory-p (car all-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10619 (setq dir-list (cons (car all-list) dir-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10620 (setq all-list (cdr all-list)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10621 dir-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10622 (cdr path-list-2))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10623 (string-match "\\(-r \\)?\\(.*\\)/.*" dir)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10624 (when (file-directory-p (match-string 2 dir))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10625 (setq path-list-3 (cons dir path-list-3)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10626 (setq path-list-2 (cdr path-list-2))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10627 path-list-3))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10628
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10629 (defun vhdl-aappend (alist-symbol key value)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10630 "Append a key-value pair to an alist.
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10631 Similar to `aput' but moves the key-value pair to the tail of the alist."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10632 (let ((elem (aelement key value))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10633 (alist (adelete alist-symbol key)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10634 (set alist-symbol (append alist elem))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10635
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10636 (defun vhdl-speedbar-goto-this-unit (directory unit)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10637 "If UNIT is displayed in DIRECTORY, goto this line and return t, else nil."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10638 (let ((dest (point)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10639 (if (and (if (vhdl-speedbar-project-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10640 (progn (goto-char (point-min)) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10641 (speedbar-goto-this-file directory))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10642 (re-search-forward (concat "[]}] " unit "\\>") nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10643 (progn (speedbar-position-cursor-on-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10644 t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10645 (goto-char dest)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10646 nil)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10647
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10648 (defun vhdl-speedbar-find-file (text token indent)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10649 "When user clicks on TEXT, load file with name and position in TOKEN."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10650 (if (not (car token))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10651 (error "Design unit does not exist")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10652 (speedbar-find-file-in-frame (car token))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10653 (goto-line (cdr token))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10654 (recenter)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10655 (vhdl-speedbar-update-current-unit t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10656 (speedbar-set-timer speedbar-update-speed)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10657 (speedbar-maybee-jump-to-attached-frame)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10658
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10659 (defun vhdl-speedbar-toggle-hierarchy ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10660 "Toggle between hierarchy and file browsing mode."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10661 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10662 (if (not (boundp 'speedbar-mode-functions-list))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10663 (error "WARNING: Install included `speedbar.el' patch first")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10664 (if (equal speedbar-initial-expansion-list-name "vhdl hierarchy")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10665 (speedbar-change-initial-expansion-list "files")
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10666 (speedbar-change-initial-expansion-list "vhdl hierarchy"))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10667
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10668 (defun vhdl-speedbar-port-copy ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10669 "Copy the port of the entity under the cursor."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10670 (interactive)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10671 (beginning-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10672 (if (re-search-forward "\\([0-9]\\)+:\\s-*\\[[-+?]\\] \\(\\(\\w\\|\\s_\\)+\\)"
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10673 (save-excursion (end-of-line) (point)) t)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10674 (condition-case ()
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10675 (let* ((indent (string-to-number (match-string 1)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10676 (ent-name (match-string 2))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10677 (ent-alist (if (vhdl-speedbar-project-p)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10678 (aget vhdl-project-entity-alist vhdl-project)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10679 (aget vhdl-entity-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10680 (abbreviate-file-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10681 (file-name-as-directory
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10682 (speedbar-line-path indent))))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10683 (ent-entry (aget ent-alist ent-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10684 (file-name (nth 0 ent-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10685 opened)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10686 ;; open file
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10687 (if (find-buffer-visiting file-name)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10688 (set-buffer (file-name-nondirectory file-name))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10689 (set-buffer (find-file-noselect file-name nil t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10690 (modify-syntax-entry ?\- ". 12" (syntax-table))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10691 (modify-syntax-entry ?\n ">" (syntax-table))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10692 (modify-syntax-entry ?\^M ">" (syntax-table))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10693 (setq opened t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10694 ;; scan port
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10695 (goto-line (nth 1 ent-entry))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10696 (end-of-line)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10697 (vhdl-port-copy)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10698 ;; close file
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10699 (when opened (kill-buffer (current-buffer))))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10700 (error (error "Port not scanned successfully")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10701 (error "No entity on current line")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10702
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10703 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10704 ;; Fontification
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10705
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10706 (defface vhdl-speedbar-entity-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10707 '((((class color) (background light)) (:foreground "ForestGreen"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10708 (((class color) (background dark)) (:foreground "PaleGreen")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10709 "Face used for displaying entity names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10710 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10711
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10712 (defface vhdl-speedbar-architecture-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10713 '((((class color) (background light)) (:foreground "Blue"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10714 (((class color) (background dark)) (:foreground "LightSkyBlue")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10715 "Face used for displaying architecture names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10716 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10717
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10718 (defface vhdl-speedbar-configuration-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10719 '((((class color) (background light)) (:foreground "DarkGoldenrod"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10720 (((class color) (background dark)) (:foreground "Salmon")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10721 "Face used for displaying configuration names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10722 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10723
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10724 (defface vhdl-speedbar-package-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10725 '((((class color) (background light)) (:foreground "Grey50"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10726 (((class color) (background dark)) (:foreground "Grey80")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10727 "Face used for displaying package names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10728 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10729
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10730 (defface vhdl-speedbar-instantiation-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10731 '((((class color) (background light)) (:foreground "Brown"))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10732 (((class color) (background dark)) (:foreground "Yellow")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10733 "Face used for displaying instantiation names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10734 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10735
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10736 (defface vhdl-speedbar-entity-selected-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10737 '((((class color) (background light)) (:foreground "ForestGreen" :underline t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10738 (((class color) (background dark)) (:foreground "PaleGreen" :underline t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10739 "Face used for displaying entity names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10740 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10741
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10742 (defface vhdl-speedbar-architecture-selected-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10743 '((((class color) (background light)) (:foreground "Blue" :underline t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10744 (((class color) (background dark)) (:foreground "LightSkyBlue" :underline t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10745 "Face used for displaying architecture names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10746 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10747
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10748 (defface vhdl-speedbar-configuration-selected-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10749 '((((class color) (background light)) (:foreground "DarkGoldenrod" :underline t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10750 (((class color) (background dark)) (:foreground "Salmon" :underline t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10751 "Face used for displaying configuration names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10752 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10753
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10754 (defface vhdl-speedbar-package-selected-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10755 '((((class color) (background light)) (:foreground "Grey50" :underline t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10756 (((class color) (background dark)) (:foreground "Grey80" :underline t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10757 "Face used for displaying package names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10758 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10759
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10760 (defface vhdl-speedbar-instantiation-selected-face
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10761 '((((class color) (background light)) (:foreground "Brown" :underline t))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10762 (((class color) (background dark)) (:foreground "Yellow" :underline t)))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10763 "Face used for displaying instantiation names."
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10764 :group 'speedbar-faces)
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10765
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10766
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10767 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10768 ;;; Bug reports
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10769 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10770 ;; (using `reporter.el')
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10771
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10772 (defconst vhdl-mode-help-address "vhdl-mode@geocities.com"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10773 "Address for VHDL Mode bug reports.")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10774
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10775 (defun vhdl-version ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10776 "Echo the current version of VHDL Mode in the minibuffer."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10777 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10778 (message "Using VHDL Mode version %s" vhdl-version)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10779 (vhdl-keep-region-active))
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10780
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10781 ;; get reporter-submit-bug-report when byte-compiling
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10782 (eval-when-compile
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10783 (require 'reporter))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10784
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10785 (defun vhdl-submit-bug-report ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10786 "Submit via mail a bug report on VHDL Mode."
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10787 (interactive)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10788 ;; load in reporter
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10789 (and
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10790 (y-or-n-p "Do you want to submit a report on VHDL Mode? ")
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10791 (require 'reporter)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10792 (reporter-submit-bug-report
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10793 vhdl-mode-help-address
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10794 (concat "VHDL Mode " vhdl-version)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10795 (list
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10796 ;; report all important variables
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10797 'vhdl-offsets-alist
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10798 'vhdl-comment-only-line-offset
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10799 'tab-width
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10800 'vhdl-electric-mode
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10801 'vhdl-stutter-mode
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10802 'vhdl-indent-tabs-mode
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10803 'vhdl-project-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10804 'vhdl-project
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10805 'vhdl-compiler-alist
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10806 'vhdl-compiler
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10807 'vhdl-compiler-options
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10808 'vhdl-standard
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10809 'vhdl-basic-offset
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10810 'vhdl-upper-case-keywords
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10811 'vhdl-upper-case-types
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10812 'vhdl-upper-case-attributes
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10813 'vhdl-upper-case-enum-values
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10814 'vhdl-upper-case-constants
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10815 'vhdl-electric-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10816 'vhdl-optional-labels
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10817 'vhdl-insert-empty-lines
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10818 'vhdl-argument-list-indent
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10819 'vhdl-association-list-with-formals
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10820 'vhdl-conditions-in-parenthesis
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10821 'vhdl-zero-string
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10822 'vhdl-one-string
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10823 'vhdl-file-header
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10824 'vhdl-file-footer
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10825 'vhdl-company-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10826 'vhdl-platform-spec
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10827 'vhdl-date-format
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10828 'vhdl-modify-date-prefix-string
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10829 'vhdl-modify-date-on-saving
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10830 'vhdl-reset-kind
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10831 'vhdl-reset-active-high
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10832 'vhdl-clock-rising-edge
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10833 'vhdl-clock-edge-condition
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10834 'vhdl-clock-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10835 'vhdl-reset-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10836 'vhdl-model-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10837 'vhdl-include-port-comments
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10838 'vhdl-include-direction-comments
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10839 'vhdl-actual-port-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10840 'vhdl-instance-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10841 'vhdl-testbench-entity-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10842 'vhdl-testbench-architecture-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10843 'vhdl-testbench-dut-name
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10844 'vhdl-testbench-entity-header
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10845 'vhdl-testbench-architecture-header
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10846 'vhdl-testbench-declarations
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10847 'vhdl-testbench-statements
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10848 'vhdl-testbench-initialize-signals
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10849 'vhdl-testbench-create-files
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10850 'vhdl-self-insert-comments
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10851 'vhdl-prompt-for-comments
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10852 'vhdl-inline-comment-column
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10853 'vhdl-end-comment-column
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10854 'vhdl-auto-align
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10855 'vhdl-align-groups
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10856 'vhdl-highlight-keywords
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10857 'vhdl-highlight-names
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10858 'vhdl-highlight-special-words
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10859 'vhdl-highlight-forbidden-words
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10860 'vhdl-highlight-verilog-keywords
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10861 'vhdl-highlight-translate-off
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10862 'vhdl-highlight-case-sensitive
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10863 'vhdl-special-syntax-alist
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10864 'vhdl-forbidden-words
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10865 'vhdl-forbidden-syntax
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10866 'vhdl-speedbar
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10867 'vhdl-speedbar-show-hierarchy
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10868 'vhdl-speedbar-hierarchy-indent
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10869 'vhdl-index-menu
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10870 'vhdl-source-file-menu
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10871 'vhdl-hideshow-menu
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10872 'vhdl-hide-all-init
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10873 'vhdl-print-two-column
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10874 'vhdl-print-customize-faces
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10875 'vhdl-intelligent-tab
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10876 'vhdl-word-completion-case-sensitive
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10877 'vhdl-word-completion-in-minibuffer
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10878 'vhdl-underscore-is-part-of-word
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10879 'vhdl-mode-hook
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10880 'vhdl-startup-warnings)
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10881 (function
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10882 (lambda ()
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10883 (insert
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10884 (if vhdl-special-indent-hook
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10885 (concat "\n@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@\n"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10886 "vhdl-special-indent-hook is set to '"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10887 (format "%s" vhdl-special-indent-hook)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10888 ".\nPerhaps this is your problem?\n"
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10889 "@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@\n\n")
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10890 "\n"))))
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10891 nil
24732
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10892 "Dear VHDL Mode maintainers,")))
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10893
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10894
b2451ff2b19e Completely revised and massively extended.
Karl Heuer <kwzh@gnu.org>
parents: 23465
diff changeset
10895 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
20665
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10896
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10897 (provide 'vhdl-mode)
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10898
5d82beffb498 Initial revision
Karl Heuer <kwzh@gnu.org>
parents:
diff changeset
10899 ;;; vhdl-mode.el ends here