comparison lisp/progmodes/vhdl-mode.el @ 65491:411b230f06b5

(conf-alist, conf-entry, conf-key, ent-alist): Add defvar.
author Juanma Barranquero <lekktu@gmail.com>
date Tue, 13 Sep 2005 08:50:42 +0000
parents 6c488c4c11c6
children 6dbba0bd58df d84f940244dc
comparison
equal deleted inserted replaced
65490:e3c81c2db803 65491:411b230f06b5
132 "Non-nil if GNU Emacs 21, 22, ... is used.") 132 "Non-nil if GNU Emacs 21, 22, ... is used.")
133 (defconst vhdl-emacs-22 (and (<= 22 emacs-major-version) (not vhdl-xemacs)) 133 (defconst vhdl-emacs-22 (and (<= 22 emacs-major-version) (not vhdl-xemacs))
134 "Non-nil if GNU Emacs 22, ... is used.") 134 "Non-nil if GNU Emacs 22, ... is used.")
135 135
136 (defvar compilation-file-regexp-alist) 136 (defvar compilation-file-regexp-alist)
137 (defvar conf-alist)
138 (defvar conf-entry)
139 (defvar conf-key)
140 (defvar ent-alist)
137 (defvar itimer-version) 141 (defvar itimer-version)
138 (defvar lazy-lock-defer-contextually) 142 (defvar lazy-lock-defer-contextually)
139 (defvar lazy-lock-defer-on-scrolling) 143 (defvar lazy-lock-defer-on-scrolling)
140 (defvar lazy-lock-defer-on-the-fly) 144 (defvar lazy-lock-defer-on-the-fly)
141
142 145
143 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; 146 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
144 ;;; Variables 147 ;;; Variables
145 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; 148 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
146 149
361 To string : string a name is mapped to (\"\\1\" inserts the unit name, 364 To string : string a name is mapped to (\"\\1\" inserts the unit name,
362 \"\\2\" inserts the entity name for architectures) 365 \"\\2\" inserts the entity name for architectures)
363 Case adjustment : adjust case of inserted unit names 366 Case adjustment : adjust case of inserted unit names
364 367
365 \(*) The regular expression must match the error message starting from the 368 \(*) The regular expression must match the error message starting from the
366 beginning of the line (but not necessarily to the end of the line). 369 beginning of the line (but not necessarily to the end of the line).
367 370
368 Compile options allows insertion of the library name (see `vhdl-project-alist') 371 Compile options allows insertion of the library name (see `vhdl-project-alist')
369 in order to set the compilers library option (e.g. \"vcom -work my_lib\"). 372 in order to set the compilers library option (e.g. \"vcom -work my_lib\").
370 373
371 For Makefile generation, the built-in function can be used (requires 374 For Makefile generation, the built-in function can be used (requires
1057 begin -- process <label> 1060 begin -- process <label>
1058 if <reset> = '0' then -- asynchronous reset (active low) 1061 if <reset> = '0' then -- asynchronous reset (active low)
1059 <cursor> 1062 <cursor>
1060 elsif <clock>'event and <clock> = '1' then -- rising clock edge 1063 elsif <clock>'event and <clock> = '1' then -- rising clock edge
1061 if <enable> = '1' then -- synchronous load 1064 if <enable> = '1' then -- synchronous load
1062 1065
1063 end if; 1066 end if;
1064 end if; 1067 end if;
1065 end process <label>;" 1068 end process <label>;"
1066 "e" "")) 1069 "e" ""))
1067 "*List of user models. 1070 "*List of user models.
1326 1329
1327 -- waveform generation 1330 -- waveform generation
1328 WaveGen_Proc: process 1331 WaveGen_Proc: process
1329 begin 1332 begin
1330 -- insert signal assignments here 1333 -- insert signal assignments here
1331 1334
1332 wait until Clk = '1'; 1335 wait until Clk = '1';
1333 end process WaveGen_Proc; 1336 end process WaveGen_Proc;
1334 " 1337 "
1335 "*String or file to be inserted in the testbench statement part. 1338 "*String or file to be inserted in the testbench statement part.
1336 If the string specifies an existing file name, the contents of the file is 1339 If the string specifies an existing file name, the contents of the file is
4401 inputs to this component -> input port created 4404 inputs to this component -> input port created
4402 - signals that are only outputs from subcomponents are considered as 4405 - signals that are only outputs from subcomponents are considered as
4403 outputs from this component -> output port created 4406 outputs from this component -> output port created
4404 - signals that are inputs to AND outputs from subcomponents are 4407 - signals that are inputs to AND outputs from subcomponents are
4405 considered as internal connections -> internal signal created 4408 considered as internal connections -> internal signal created
4406 4409
4407 Purpose: With appropriate naming conventions it is possible to 4410 Purpose: With appropriate naming conventions it is possible to
4408 create higher design levels with only a few mouse clicks or key 4411 create higher design levels with only a few mouse clicks or key
4409 strokes. A new design level can be created by simply generating a new 4412 strokes. A new design level can be created by simply generating a new
4410 component, placing the required subcomponents from the hierarchy 4413 component, placing the required subcomponents from the hierarchy
4411 browser, and wiring everything automatically. 4414 browser, and wiring everything automatically.
4412 4415
4413 Note: Automatic wiring only works reliably on templates of new 4416 Note: Automatic wiring only works reliably on templates of new
4414 components and component instantiations that were created by VHDL mode. 4417 components and component instantiations that were created by VHDL mode.
4415 4418
4416 Component declarations can be placed in a components package (option 4419 Component declarations can be placed in a components package (option
4417 `vhdl-use-components-package') which can be automatically generated for 4420 `vhdl-use-components-package') which can be automatically generated for
4418 an entire directory or project (`C-c C-c M-p'). The VHDL'93 direct 4421 an entire directory or project (`C-c C-c M-p'). The VHDL'93 direct
4419 component instantiation is also supported (option 4422 component instantiation is also supported (option
4420 `vhdl-use-direct-instantiation'). 4423 `vhdl-use-direct-instantiation').
4432 | generating the configuration. 4435 | generating the configuration.
4433 | 4436 |
4434 | Note: Configurations of subcomponents (i.e. hierarchical configuration 4437 | Note: Configurations of subcomponents (i.e. hierarchical configuration
4435 | declarations) are currently not considered when displaying 4438 | declarations) are currently not considered when displaying
4436 | configurations in speedbar. 4439 | configurations in speedbar.
4437 4440
4438 See the options group `vhdl-compose' for all relevant user options. 4441 See the options group `vhdl-compose' for all relevant user options.
4439 4442
4440 4443
4441 SOURCE FILE COMPILATION: 4444 SOURCE FILE COMPILATION:
4442 The syntax of the current buffer can be analyzed by calling a VHDL 4445 The syntax of the current buffer can be analyzed by calling a VHDL
15289 :group 'speedbar-faces) 15292 :group 'speedbar-faces)
15290 15293
15291 (defface vhdl-speedbar-architecture-face 15294 (defface vhdl-speedbar-architecture-face
15292 '((((min-colors 88) (class color) (background light)) (:foreground "Blue1")) 15295 '((((min-colors 88) (class color) (background light)) (:foreground "Blue1"))
15293 (((class color) (background light)) (:foreground "Blue")) 15296 (((class color) (background light)) (:foreground "Blue"))
15294 15297
15295 (((class color) (background dark)) (:foreground "LightSkyBlue"))) 15298 (((class color) (background dark)) (:foreground "LightSkyBlue")))
15296 "Face used for displaying architecture names." 15299 "Face used for displaying architecture names."
15297 :group 'speedbar-faces) 15300 :group 'speedbar-faces)
15298 15301
15299 (defface vhdl-speedbar-configuration-face 15302 (defface vhdl-speedbar-configuration-face