comparison lisp/ChangeLog @ 87903:5d58981e6690

Merge from emacs--rel--22 Revision: emacs@sv.gnu.org/emacs--devo--0--patch-1006
author Miles Bader <miles@gnu.org>
date Tue, 22 Jan 2008 23:53:46 +0000
parents e4dc826dcb18 f549244775de
children db83d2a010da
comparison
equal deleted inserted replaced
87902:2080d155f7aa 87903:5d58981e6690
1 2008-01-19 Dan Nicolaescu <dann@ics.uci.edu>
2
3 * progmodes/sh-script.el (sh-basic-offset):
4 * progmodes/cc-vars.el (c-syntactic-indentation)
5 (c-syntactic-indentation-in-macros): Mark as safe.
6
7 2008-01-18 Richard Stallman <rms@gnu.org>
8
9 * icomplete.el (icomplete-get-keys):
10 Look up KEYS using all maps in proper buffer.
11
12 2008-01-17 Vinicius Jose Latorre <viniciusjl@ig.com.br>
13 Miles Bader <miles@gnu.org>
14
15 * blank-mode.el: New file. Minor mode to visualise TAB,
16 (HARD) SPACE, NEWLINE. Miles Bader <miles@gnu.org> wrote the original
17 code for handling display table (via visws.el package), his code was
18 modified, but the main idea was kept.
19
20 2008-01-16 Alan Mackenzie <acm@muc.de>
21
22 * progmodes/cc-vars.el (c-constant-symbol): Put this defun inside
23 an eval-and-compile, so as to permit byte-compiling (e.g. in
24 bootstrap).
25
26 2008-01-16 Juanma Barranquero <lekktu@gmail.com>
27
28 * frame.el (display-mm-height, display-mm-width):
29 * whitespace.el (whitespace-check-leading-whitespace)
30 (whitespace-check-trailing-whitespace)
31 (whitespace-check-spacetab-whitespace)
32 (whitespace-check-indent-whitespace)
33 (whitespace-check-ateol-whitespace):
34 * progmodes/ada-xref.el (ada-convert-file-name): Fix typo in docstring.
35
36 2008-01-15 Dan Nicolaescu <dann@ics.uci.edu>
37
38 * vc-arch.el (vc-arch-delete-rej-if-obsolete): Remove the
39 after-save-hook so that it is not called multiple times.
40
41 * vc-svn.el (vc-svn-resolve-when-done): Likewise.
42
43 2008-01-12 Eli Zaretskii <eliz@gnu.org>
44
45 * view.el (view-file-other-window, view-file-other-frame): Don't
46 kill the buffer if it is modified. Doc fixes.
47 (kill-buffer-if-not-modified): New function.
48 (view-file): Don't kill the buffer if it is modified.
49
50 * progmodes/ebrowse.el (ebrowse-view-file-other-window): Delete
51 function.
52 (ebrowse-view/find-file-and-search-pattern): Call
53 view-file-other-window instead of ebrowse-view-file-other-window.
54 (ebrowse-view-file-other-frame): Don't call
55 current-window-configuration. Fix second argument in the call to
56 view-mode-enter. Doc fix.
57
58 2008-01-11 Richard Stallman <rms@gnu.org>
59
60 * subr.el (atomic-change-group): Prevent undo list truncation.
61
62 2008-01-10 Dan Nicolaescu <dann@ics.uci.edu>
63
64 * files.el (safe-local-eval-forms): Mark
65 (add-hook 'write-file-hooks 'time-stamp) as safe.
66
67 2008-01-10 Nick Roberts <nickrob@snap.net.nz>
68
69 * comint.el (comint-insert-input): Set point first.
70
71 * progmodes/gdb-ui.el (gdb-dequeue-input): Make doubly sure
72 session doesn't hang because gdb-pending-triggers is non-nil.
73 (gdb-frame-handler): Use buffer-file-name instead of
74 buffer-name in case of duplicate file names.
75
76 2008-01-10 Dan Nicolaescu <dann@ics.uci.edu>
77
78 * progmodes/verilog-mode.el (verilog-mode-map): Don't bind C-M-a,
79 C-M-e and C-M-h for emacs, they work by default.
80 (verilog-emacs-features): Remove.
81 (verilog-setup-dual-comments, verilog-populate-syntax-table):
82 Remove. Move syntax table initialization ...
83 (verilog-mode-syntax-table): ... here.
84 (verilog-mode): Don't initialize the syntax table here.
85 (verilog-mark-defun): Only do something useful for XEmacs, emacs
86 does not need it.
87
88 2008-01-09 Wilson Snyder <wsnyder@wsnyder.org>
89
90 * progmodes/verilog-mode.el (verilog-booleanp): New function for
91 backward compatibility. Replace all uses of booleanp with
92 verilog-booleanp.
93
94 2008-01-09 Dan Nicolaescu <dann@ics.uci.edu>
95
96 * vc-hg.el (vc-hg-diff): Don't pass an empty string.
97
98 2008-01-09 Wilson Snyder <wsnyder@wsnyder.org>
99
100 * progmodes/verilog-mode.el (top-level): Fix spacing.
101 (verilog-mode-version, verilog-mode-release-date): Update version
102 number.
103 (verilog-mode-release-emacs): New variable.
104 (compile-command, reporter-prompt-for-summary-p): Define for byte
105 compiler.
106 (verilog-startup-message-lines)
107 (verilog-startup-message-displayed)
108 (verilog-display-startup-message): Remove.
109 (verilog-highlight-p1800-keywords): Improve docstring.
110 (sigs-in, sigs-out, got-sig, got-rvalue, uses-delayed)
111 (vector-skip-list): Only defvar at compile time.
112 (verilog-highlight-translate-off, verilog-indent-level)
113 (verilog-indent-level-module, verilog-indent-level-declaration)
114 (verilog-indent-declaration-macros, verilog-indent-lists)
115 (verilog-indent-level-behavioral)
116 (verilog-indent-level-directive, verilog-cexp-indent)
117 (verilog-case-indent, verilog-auto-newline)
118 (verilog-auto-indent-on-newline, verilog-tab-always-indent)
119 (verilog-tab-to-comment, verilog-indent-begin-after-if)
120 (verilog-align-ifelse, verilog-minimum-comment-distance)
121 (verilog-auto-lineup, verilog-highlight-p1800-keywords)
122 (verilog-auto-endcomments, verilog-auto-read-includes)
123 (verilog-auto-star-expand, verilog-auto-star-save)
124 (verilog-library-flags, verilog-library-directories)
125 (verilog-library-files, verilog-library-extensions)
126 (verilog-active-low-regexp, verilog-auto-sense-include-inputs)
127 (verilog-auto-sense-defines-constant, verilog-auto-reset-widths)
128 (verilog-assignment-delay, verilog-auto-inst-vector)
129 (verilog-auto-inst-template-numbers)
130 (verilog-auto-input-ignore-regexp)
131 (verilog-auto-inout-ignore-regexp)
132 (verilog-auto-output-ignore-regexp)
133 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp): Add
134 safe-local-variable properties.
135 (verilog-statement-menu, verilog-company)
136 (verilog-re-search-forward, verilog-re-search-backward)
137 (verilog-error-regexp-add, verilog-end-block-re)
138 (verilog-emacs-features, verilog-populate-syntax-table)
139 (verilog-setup-dual-comments, verilog-type-font-keywords)
140 (verilog-inside-comment-p, electric-verilog-backward-sexp)
141 (verilog-backward-sexp, verilog-forward-sexp)
142 (verilog-font-lock-init, verilog-mode)
143 (electric-verilog-terminate-line, electric-verilog-semi)
144 (electric-verilog-tab, verilog-insert-1, )
145 (verilog-insert-indices, verilog-generate-numbers)
146 (verilog-comment-region, verilog-label-be)
147 (verilog-beg-of-statement, verilog-in-case-region-p)
148 (verilog-in-struct-region-p, verilog-in-generate-region-p)
149 (verilog-in-fork-region-p, verilog-backward-case-item)
150 (verilog-set-auto-endcomments, verilog-get-expr)
151 (verilog-expand-vector-internal, verilog-surelint-off)
152 (verilog-batch-execute-func, verilog-calculate-indent)
153 (verilog-calc-1, verilog-calculate-indent-directive)
154 (verilog-leap-to-head, verilog-continued-line)
155 (verilog-backward-token, verilog-backward-syntactic-ws)
156 (verilog-forward-syntactic-ws, verilog-backward-ws&directives)
157 (verilog-forward-ws&directives, verilog-at-constraint-p)
158 (verilog-skip-backward-comments, verilog-indent-line-relative)
159 (verilog-do-indent, verilog-indent-comment)
160 (verilog-more-comment, verilog-pretty-declarations)
161 (verilog-pretty-expr, verilog-just-one-space)
162 (verilog-indent-declaration, verilog-get-completion-decl)
163 (verilog-goto-defun, verilog-showscopes, verilog-header)
164 (verilog-signals-combine-bus, verilog-read-decls)
165 (verilog-read-always-signals-recurse, verilog-read-instants)
166 (verilog-read-auto-template, verilog-set-define)
167 (verilog-read-defines, verilog-read-signals, verilog-getopt)
168 (verilog-is-number, verilog-expand-dirnames)
169 (verilog-modi-lookup, verilog-modi-cache-results)
170 (verilog-insert-one-definition, verilog-make-width-expression)
171 (verilog-delete-autos-lined, verilog-auto-save-check)
172 (verilog-auto-arg, verilog-auto-inst-port, verilog-auto-inst)
173 (verilog-auto-inst-param, verilog-auto-reg)
174 (verilog-auto-reg-input, verilog-auto-wire, )
175 (verilog-auto-output, verilog-auto-output-every)
176 (verilog-auto-input, verilog-auto-inout)
177 (verilog-auto-inout-module, verilog-auto-sense)
178 (verilog-auto-reset, verilog-auto-tieoff, verilog-auto-unused)
179 (verilog-auto-ascii-enum, verilog-auto)
180 (verilog-sk-define-signal, verilog-mode-mouse-map)
181 (verilog-load-file-at-mouse, verilog-load-file-at-point)
182 (verilog-library-files): Cleanup spacing of )'s they should not be
183 on unique lines. Fix checkdoc warnings.
184
1 2008-01-22 Glenn Morris <rgm@gnu.org> 185 2008-01-22 Glenn Morris <rgm@gnu.org>
2 186
3 * progmodes/hideif.el (hide-ifdef-initially, hide-ifdef-read-only) 187 * progmodes/hideif.el (hide-ifdef-initially, hide-ifdef-read-only)
4 (hide-ifdef-lines, hide-ifdef-shadow, hide-ifdef-shadow): 188 (hide-ifdef-lines, hide-ifdef-shadow, hide-ifdef-shadow):
5 Remove autoload cookies. 189 Remove autoload cookies.