comparison lisp/ChangeLog @ 87935:d6e9d37e0cc1

*** empty log message ***
author Juanma Barranquero <lekktu@gmail.com>
date Thu, 24 Jan 2008 10:44:22 +0000
parents a0db223cb682
children 603fbab24035
comparison
equal deleted inserted replaced
87934:a0db223cb682 87935:d6e9d37e0cc1
38 38
39 2008-01-23 Carsten Dominik <dominik@science.uva.nl> 39 2008-01-23 Carsten Dominik <dominik@science.uva.nl>
40 40
41 * replace.el (occur-mode-find-occurrence-hook): New hook that can 41 * replace.el (occur-mode-find-occurrence-hook): New hook that can
42 be used to reveal or highlight the location of a match. 42 be used to reveal or highlight the location of a match.
43 (occur-mode-goto-occurrence) 43 (occur-mode-goto-occurrence, occur-mode-goto-occurrence-other-window)
44 (occur-mode-goto-occurrence-other-window)
45 (occur-mode-display-occurrence): Run `occur-mode-find-occurrence-hook'. 44 (occur-mode-display-occurrence): Run `occur-mode-find-occurrence-hook'.
46 45
47 2008-01-23 Martin Rudalics <rudalics@gmx.at> 46 2008-01-23 Martin Rudalics <rudalics@gmx.at>
48 47
49 * progmodes/hideif.el (hide-ifdef-shadow): Add version number 48 * progmodes/hideif.el (hide-ifdef-shadow): Add version number
82 81
83 * vc-svn.el (vc-svn-resolve-when-done): Likewise. 82 * vc-svn.el (vc-svn-resolve-when-done): Likewise.
84 83
85 2008-01-23 Eli Zaretskii <eliz@gnu.org> 84 2008-01-23 Eli Zaretskii <eliz@gnu.org>
86 85
87 * view.el (view-file-other-window, view-file-other-frame): Don't 86 * view.el (view-file-other-window, view-file-other-frame):
88 kill the buffer if it is modified. Doc fixes. 87 Don't kill the buffer if it is modified. Doc fixes.
89 (kill-buffer-if-not-modified): New function. 88 (kill-buffer-if-not-modified): New function.
90 (view-file): Don't kill the buffer if it is modified. 89 (view-file): Don't kill the buffer if it is modified.
91 90
92 * progmodes/ebrowse.el (ebrowse-view-file-other-window): Delete 91 * progmodes/ebrowse.el (ebrowse-view-file-other-window):
93 function. 92 Delete function.
94 (ebrowse-view/find-file-and-search-pattern): Call 93 (ebrowse-view/find-file-and-search-pattern): Call
95 view-file-other-window instead of ebrowse-view-file-other-window. 94 view-file-other-window instead of ebrowse-view-file-other-window.
96 (ebrowse-view-file-other-frame): Don't call 95 (ebrowse-view-file-other-frame): Don't call
97 current-window-configuration. Fix second argument in the call to 96 current-window-configuration. Fix second argument in the call to
98 view-mode-enter. Doc fix. 97 view-mode-enter. Doc fix.
122 (verilog-emacs-features): Remove. 121 (verilog-emacs-features): Remove.
123 (verilog-setup-dual-comments, verilog-populate-syntax-table): 122 (verilog-setup-dual-comments, verilog-populate-syntax-table):
124 Remove. Move syntax table initialization ... 123 Remove. Move syntax table initialization ...
125 (verilog-mode-syntax-table): ... here. 124 (verilog-mode-syntax-table): ... here.
126 (verilog-mode): Don't initialize the syntax table here. 125 (verilog-mode): Don't initialize the syntax table here.
127 (verilog-mark-defun): Only do something useful for XEmacs, emacs 126 (verilog-mark-defun): Only do something useful for XEmacs, Emacs
128 does not need it. 127 does not need it.
129 128
130 2008-01-23 Wilson Snyder <wsnyder@wsnyder.org> 129 2008-01-23 Wilson Snyder <wsnyder@wsnyder.org>
131 130
132 * progmodes/verilog-mode.el (verilog-booleanp): New function for 131 * progmodes/verilog-mode.el (verilog-booleanp): New function for
138 * vc-hg.el (vc-hg-diff): Don't pass an empty string. 137 * vc-hg.el (vc-hg-diff): Don't pass an empty string.
139 138
140 2008-01-23 Wilson Snyder <wsnyder@wsnyder.org> 139 2008-01-23 Wilson Snyder <wsnyder@wsnyder.org>
141 140
142 * progmodes/verilog-mode.el (top-level): Fix spacing. 141 * progmodes/verilog-mode.el (top-level): Fix spacing.
143 (verilog-mode-version, verilog-mode-release-date): Update version 142 (verilog-mode-version, verilog-mode-release-date):
144 number. 143 Update version number.
145 (verilog-mode-release-emacs): New variable. 144 (verilog-mode-release-emacs): New variable.
146 (compile-command, reporter-prompt-for-summary-p): Define for byte 145 (compile-command, reporter-prompt-for-summary-p):
147 compiler. 146 Define for byte compiler.
148 (verilog-startup-message-lines) 147 (verilog-startup-message-lines, verilog-startup-message-displayed)
149 (verilog-startup-message-displayed)
150 (verilog-display-startup-message): Remove. 148 (verilog-display-startup-message): Remove.
151 (verilog-highlight-p1800-keywords): Improve docstring. 149 (verilog-highlight-p1800-keywords): Improve docstring.
152 (sigs-in, sigs-out, got-sig, got-rvalue, uses-delayed) 150 (sigs-in, sigs-out, got-sig, got-rvalue, uses-delayed)
153 (vector-skip-list): Only defvar at compile time. 151 (vector-skip-list): Only defvar at compile time.
154 (verilog-highlight-translate-off, verilog-indent-level) 152 (verilog-highlight-translate-off, verilog-indent-level)
155 (verilog-indent-level-module, verilog-indent-level-declaration) 153 (verilog-indent-level-module, verilog-indent-level-declaration)
156 (verilog-indent-declaration-macros, verilog-indent-lists) 154 (verilog-indent-declaration-macros, verilog-indent-lists)
157 (verilog-indent-level-behavioral) 155 (verilog-indent-level-behavioral, verilog-indent-level-directive)
158 (verilog-indent-level-directive, verilog-cexp-indent) 156 (verilog-cexp-indent, verilog-case-indent, verilog-auto-newline)
159 (verilog-case-indent, verilog-auto-newline)
160 (verilog-auto-indent-on-newline, verilog-tab-always-indent) 157 (verilog-auto-indent-on-newline, verilog-tab-always-indent)
161 (verilog-tab-to-comment, verilog-indent-begin-after-if) 158 (verilog-tab-to-comment, verilog-indent-begin-after-if)
162 (verilog-align-ifelse, verilog-minimum-comment-distance) 159 (verilog-align-ifelse, verilog-minimum-comment-distance)
163 (verilog-auto-lineup, verilog-highlight-p1800-keywords) 160 (verilog-auto-lineup, verilog-highlight-p1800-keywords)
164 (verilog-auto-endcomments, verilog-auto-read-includes) 161 (verilog-auto-endcomments, verilog-auto-read-includes)
166 (verilog-library-flags, verilog-library-directories) 163 (verilog-library-flags, verilog-library-directories)
167 (verilog-library-files, verilog-library-extensions) 164 (verilog-library-files, verilog-library-extensions)
168 (verilog-active-low-regexp, verilog-auto-sense-include-inputs) 165 (verilog-active-low-regexp, verilog-auto-sense-include-inputs)
169 (verilog-auto-sense-defines-constant, verilog-auto-reset-widths) 166 (verilog-auto-sense-defines-constant, verilog-auto-reset-widths)
170 (verilog-assignment-delay, verilog-auto-inst-vector) 167 (verilog-assignment-delay, verilog-auto-inst-vector)
171 (verilog-auto-inst-template-numbers) 168 (verilog-auto-inst-template-numbers, verilog-auto-input-ignore-regexp)
172 (verilog-auto-input-ignore-regexp) 169 (verilog-auto-inout-ignore-regexp, verilog-auto-output-ignore-regexp)
173 (verilog-auto-inout-ignore-regexp) 170 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp):
174 (verilog-auto-output-ignore-regexp) 171 Add safe-local-variable properties.
175 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp): Add 172 (verilog-statement-menu, verilog-company, verilog-re-search-forward)
176 safe-local-variable properties. 173 (verilog-re-search-backward, verilog-error-regexp-add)
177 (verilog-statement-menu, verilog-company) 174 (verilog-end-block-re, verilog-emacs-features)
178 (verilog-re-search-forward, verilog-re-search-backward) 175 (verilog-populate-syntax-table, verilog-setup-dual-comments)
179 (verilog-error-regexp-add, verilog-end-block-re) 176 (verilog-type-font-keywords, verilog-inside-comment-p)
180 (verilog-emacs-features, verilog-populate-syntax-table) 177 (electric-verilog-backward-sexp, verilog-backward-sexp)
181 (verilog-setup-dual-comments, verilog-type-font-keywords) 178 (verilog-forward-sexp, verilog-font-lock-init, verilog-mode)
182 (verilog-inside-comment-p, electric-verilog-backward-sexp)
183 (verilog-backward-sexp, verilog-forward-sexp)
184 (verilog-font-lock-init, verilog-mode)
185 (electric-verilog-terminate-line, electric-verilog-semi) 179 (electric-verilog-terminate-line, electric-verilog-semi)
186 (electric-verilog-tab, verilog-insert-1, ) 180 (electric-verilog-tab, verilog-insert-1, verilog-insert-indices)
187 (verilog-insert-indices, verilog-generate-numbers) 181 (verilog-generate-numbers, verilog-comment-region, verilog-label-be)
188 (verilog-comment-region, verilog-label-be)
189 (verilog-beg-of-statement, verilog-in-case-region-p) 182 (verilog-beg-of-statement, verilog-in-case-region-p)
190 (verilog-in-struct-region-p, verilog-in-generate-region-p) 183 (verilog-in-struct-region-p, verilog-in-generate-region-p)
191 (verilog-in-fork-region-p, verilog-backward-case-item) 184 (verilog-in-fork-region-p, verilog-backward-case-item)
192 (verilog-set-auto-endcomments, verilog-get-expr) 185 (verilog-set-auto-endcomments, verilog-get-expr)
193 (verilog-expand-vector-internal, verilog-surelint-off) 186 (verilog-expand-vector-internal, verilog-surelint-off)
196 (verilog-leap-to-head, verilog-continued-line) 189 (verilog-leap-to-head, verilog-continued-line)
197 (verilog-backward-token, verilog-backward-syntactic-ws) 190 (verilog-backward-token, verilog-backward-syntactic-ws)
198 (verilog-forward-syntactic-ws, verilog-backward-ws&directives) 191 (verilog-forward-syntactic-ws, verilog-backward-ws&directives)
199 (verilog-forward-ws&directives, verilog-at-constraint-p) 192 (verilog-forward-ws&directives, verilog-at-constraint-p)
200 (verilog-skip-backward-comments, verilog-indent-line-relative) 193 (verilog-skip-backward-comments, verilog-indent-line-relative)
201 (verilog-do-indent, verilog-indent-comment) 194 (verilog-do-indent, verilog-indent-comment, verilog-more-comment)
202 (verilog-more-comment, verilog-pretty-declarations) 195 (verilog-pretty-declarations, verilog-pretty-expr)
203 (verilog-pretty-expr, verilog-just-one-space) 196 (verilog-just-one-space, verilog-indent-declaration)
204 (verilog-indent-declaration, verilog-get-completion-decl) 197 (verilog-get-completion-decl, verilog-goto-defun, verilog-showscopes)
205 (verilog-goto-defun, verilog-showscopes, verilog-header) 198 (verilog-header, verilog-signals-combine-bus, verilog-read-decls)
206 (verilog-signals-combine-bus, verilog-read-decls)
207 (verilog-read-always-signals-recurse, verilog-read-instants) 199 (verilog-read-always-signals-recurse, verilog-read-instants)
208 (verilog-read-auto-template, verilog-set-define) 200 (verilog-read-auto-template, verilog-set-define)
209 (verilog-read-defines, verilog-read-signals, verilog-getopt) 201 (verilog-read-defines, verilog-read-signals, verilog-getopt)
210 (verilog-is-number, verilog-expand-dirnames) 202 (verilog-is-number, verilog-expand-dirnames, verilog-modi-lookup)
211 (verilog-modi-lookup, verilog-modi-cache-results) 203 (verilog-modi-cache-results, verilog-insert-one-definition)
212 (verilog-insert-one-definition, verilog-make-width-expression) 204 (verilog-make-width-expression, verilog-delete-autos-lined)
213 (verilog-delete-autos-lined, verilog-auto-save-check) 205 (verilog-auto-save-check, verilog-auto-arg, verilog-auto-inst-port)
214 (verilog-auto-arg, verilog-auto-inst-port, verilog-auto-inst) 206 (verilog-auto-inst, verilog-auto-inst-param, verilog-auto-reg)
215 (verilog-auto-inst-param, verilog-auto-reg) 207 (verilog-auto-reg-input, verilog-auto-wire, verilog-auto-output)
216 (verilog-auto-reg-input, verilog-auto-wire, ) 208 (verilog-auto-output-every, verilog-auto-input, verilog-auto-inout)
217 (verilog-auto-output, verilog-auto-output-every) 209 (verilog-auto-inout-module, verilog-auto-sense, verilog-auto-reset)
218 (verilog-auto-input, verilog-auto-inout) 210 (verilog-auto-tieoff, verilog-auto-unused, verilog-auto-ascii-enum)
219 (verilog-auto-inout-module, verilog-auto-sense) 211 (verilog-auto, verilog-sk-define-signal, verilog-mode-mouse-map)
220 (verilog-auto-reset, verilog-auto-tieoff, verilog-auto-unused)
221 (verilog-auto-ascii-enum, verilog-auto)
222 (verilog-sk-define-signal, verilog-mode-mouse-map)
223 (verilog-load-file-at-mouse, verilog-load-file-at-point) 212 (verilog-load-file-at-mouse, verilog-load-file-at-point)
224 (verilog-library-files): Cleanup spacing of )'s they should not be 213 (verilog-library-files): Cleanup spacing of )'s they should not be
225 on unique lines. Fix checkdoc warnings. 214 on unique lines. Fix checkdoc warnings.
226 215
227 2008-01-22 Glenn Morris <rgm@gnu.org> 216 2008-01-22 Glenn Morris <rgm@gnu.org>
228 217
229 * progmodes/hideif.el (hide-ifdef-initially, hide-ifdef-read-only) 218 * progmodes/hideif.el (hide-ifdef-initially, hide-ifdef-read-only)
230 (hide-ifdef-lines, hide-ifdef-shadow, hide-ifdef-shadow): 219 (hide-ifdef-lines, hide-ifdef-shadow): Remove autoload cookies.
231 Remove autoload cookies.
232 220
233 * vc.el (vc-diff-sentinel): Do not write a footer if there were 221 * vc.el (vc-diff-sentinel): Do not write a footer if there were
234 differences. 222 differences.
235 223
236 2008-01-21 Reiner Steib <Reiner.Steib@gmx.de> 224 2008-01-21 Reiner Steib <Reiner.Steib@gmx.de>
384 372
385 2008-01-18 Dan Nicolaescu <dann@ics.uci.edu> 373 2008-01-18 Dan Nicolaescu <dann@ics.uci.edu>
386 374
387 * vc.el: Make vc-status asynchronous. 375 * vc.el: Make vc-status asynchronous.
388 (vc-update-vc-status-buffer): New function broken out of ... 376 (vc-update-vc-status-buffer): New function broken out of ...
389 (vc-status-refresh): ... here. Pass vc-update-vc-status-buffer to 377 (vc-status-refresh): ... here. Pass vc-update-vc-status-buffer to
390 the dir-status backend function. 378 the dir-status backend function.
391 379
392 * vc-hg.el (vc-hg-dir-status): Compute the status asynchronously. 380 * vc-hg.el (vc-hg-dir-status): Compute the status asynchronously.
393 Move the output processing to ... 381 Move the output processing to ...
394 (vc-hg-after-dir-status): ... here. Call the function passed as 382 (vc-hg-after-dir-status): ... here. Call the function passed as