view etc/charsets/JISX213A.map @ 97107:138e8a4ee5a6

* verilog-mode.el (verilog-do-indent): Remove special indent for declarations inside a parenthetical list. The code is ill-advised, and doesn't work given user defined types. (verilog-set-auto-endcomments): Enhance function automatic endcomment to support functions that return user defined types. (verilog-mode): Add code to tell which-function-mode minor mode that Verilog supports this feature. (verilog-beg-block-re-ordered, verilog-indent-re) (verilog-forward-sexp, verilog-forward-wa, verilog-calc-1) (verilog-leap-to-head): Support the new virtual and/or protected tasks, as well as extern declarations of tasks for indenting and for foward/backward expression. * verilog-mode (verilog-read-decls): Allow AUTORESET to work with SV 'logic' signals. [Julian Gorfajn] (verilog-auto-inst-column): Make verilog-auto-inst-column customizable. (verilog-string-replace-matches): Avoid recursion with small replacements. (verilog-auto-inst-param-value, verilog-mode-version) (verilog-mode-version-date, verilog-read-inst-param-value) (verilog-auto-inst, verilog-auto-inst-param) (verilog-auto-inst-port, verilog-simplify-range-expression): Add verilog-auto-inst-param-value option for AUTOINST. [David Rogoff] This allows parameters to be replaced with their values, on the expansion of an AUTOINST with Verilog 2001 style parameter settings.
author Dan Nicolaescu <dann@ics.uci.edu>
date Wed, 30 Jul 2008 07:03:17 +0000
parents ae8583bf8cd3
children 8cc2b1e9767f
line wrap: on
line source

0x2E21 0x4FF1
0x2F7E 0x525D
0x4F54 0x20B9F
0x4F7E 0x541E
0x7427 0x5653
0x7E7A 0x59F8
0x7E7B 0x5C5B
0x7E7C 0x5E77
0x7E7D 0x7626
0x7E7E 0x7E6B