view lwlib/lwlib-Xlw.h @ 88497:d2b9e0d4c2f6

(Fdecode_sjis_char): Fix typo (0x7F->0xFF). Fix the handling of charset list. (encode_coding_iso_2022): Setup coding->safe_charsets in advance.
author Kenichi Handa <handa@m17n.org>
date Tue, 14 May 2002 08:00:53 +0000
parents 6ed38ee607e9
children 695cf19ef79e
line wrap: on
line source

#ifndef LWLIB_XLW_H
#define LWLIB_XLW_H

#include "lwlib-int.h"

extern widget_creation_entry xlw_creation_table [];
extern widget_creation_function xlw_create_dialog;

Boolean
lw_lucid_widget_p __P ((Widget widget));

void
xlw_update_one_widget __P ((widget_instance* instance, Widget widget,
		       widget_value* val, Boolean deep_p));

void
xlw_update_one_value __P ((widget_instance* instance, Widget widget,
		      widget_value* val));

void
xlw_destroy_instance __P ((widget_instance* instance));

void
xlw_pop_instance __P ((widget_instance* instance, Boolean up));

void
xlw_popup_menu __P ((Widget widget, XEvent * event));

#endif /* LWLIB_XLW_H */