# HG changeset patch # User Juanma Barranquero # Date 1264825357 -3600 # Node ID 8dd71c7847de78a5b4d7e9bf429eed2869b93148 # Parent 29c47dbb19137037eb1ed9f6c02d1a533d774b8a Fix typos. diff -r 29c47dbb1913 -r 8dd71c7847de lisp/ChangeLog --- a/lisp/ChangeLog Fri Jan 29 18:01:17 2010 +0000 +++ b/lisp/ChangeLog Sat Jan 30 05:22:37 2010 +0100 @@ -174,7 +174,7 @@ 2010-01-18 Stephen Leake - * lisp/progmodes/ada-mode.el: Fix bug#5400. + * progmodes/ada-mode.el: Fix bug#5400. (ada-matching-decl-start-re): Move into ada-goto-decl-start. (ada-goto-decl-start): Rename from ada-goto-matching-decl-start; callers changed. Delete RECURSIVE parameter; never used. Improve doc string. @@ -1034,7 +1034,7 @@ 2009-12-11 Michael McNamara - * verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re) + * progmodes/verilog-mode.el (verilog-vmm-begin-re, verilog-vmm-end-re) (verilog-vmm-statement-re, verilog-ovm-statement-re) (verilog-defun-level-not-generate-re, verilog-calculate-indent) (verilog-leap-to-head, verilog-backward-token): @@ -1042,9 +1042,9 @@ 2009-12-11 Wilson Snyder - * verilog-mode.el (verilog-auto-lineup, verilog-nameable-item-re): - Cleanup user-visible spelling and documentation errors. - One reported by Gary Delp. + * progmodes/verilog-mode.el (verilog-auto-lineup) + (verilog-nameable-item-re): Cleanup user-visible spelling and + documentation errors. One reported by Gary Delp. (verilog-submit-bug-report): Mention bug tracking and CC co-author. (verilog-read-decls): Fix AUTOWIRE with types declared in a package, bug195. Reported by Pierre-David Pfister. @@ -2088,7 +2088,7 @@ 2009-11-26 Michael McNamara - * verilog-mode.el (verilog-at-struct-p): Support "signed" and + * progmodes/verilog-mode.el (verilog-at-struct-p): Support "signed" and "unsigned" structs. (verilog-leap-to-head, verilog-backward-token): Handle "disable @@ -2096,9 +2096,10 @@ 2009-11-26 Wilson Snyder - * verilog-mode.el (verilog-auto-insert-lisp, verilog-delete-auto) - (verilog-delete-empty-auto-pair, verilog-library-filenames): - Fix AUTOINSERTLISP to support insert-file. Reported by Clay Douglass. + * progmodes/verilog-mode.el (verilog-auto-insert-lisp) + (verilog-delete-auto, verilog-delete-empty-auto-pair) + (verilog-library-filenames): Fix AUTOINSERTLISP to support insert-file. + Reported by Clay Douglass. (verilog-auto-inst, verilog-auto-star-safe) (verilog-delete-auto-star-implicit, verilog-read-sub-decls): @@ -3490,7 +3491,7 @@ 2009-11-05 Wilson Snyder - * verilog-mode.el (verilog-getopt-file, verilog-set-define): + * progmodes/verilog-mode.el (verilog-getopt-file, verilog-set-define): Remove extra save-excursions and make-variable-buffer-local's. Suggested by Stefan Monnier. @@ -3516,8 +3517,8 @@ 2009-11-05 Michael McNamara - * verilog-mode.el (verilog-label-re): Fix regular expression for - labels. + * progmodes/verilog-mode.el (verilog-label-re): Fix regular expression + for labels. (verilog-label-re, verilog-calc-1): Support proper indent of named asserts. @@ -9721,9 +9722,9 @@ 2009-07-06 Michael McNamara - * verilog-mode.el (verilog-error-regexp-emacs-alist): Coded custom - representation of verilog error regular expressions to work with - Emacs-22's new format. + * progmodes/verilog-mode.el (verilog-error-regexp-emacs-alist): + Coded custom representation of verilog error regular expressions + to work with Emacs-22's new format. (verilog-error-regexp-xemacs-alist): Coded custom representation of verilog error regular expressions to work with XEmacs format. (verilog-error-regexp-add-xemacs): Hook routine to install verilog @@ -9794,7 +9795,7 @@ 2009-07-03 Jay Belanger - * calc-math.el (math-use-emacs-fn): Make sure that the number is + * calc/calc-math.el (math-use-emacs-fn): Make sure that the number is formatted correctly. 2009-07-02 Juri Linkov @@ -9960,7 +9961,7 @@ 2009-06-28 Michael McNamara - * verilog-mode.el (verilog-beg-of-statement) + * progmodes/verilog-mode.el (verilog-beg-of-statement) (verilog-endcomment-reason-re): Support unique case and priority case. (verilog-basic-complete-re): Support localparam lineup. (verilog-beg-of-statement-1): Fix for robustness, unique case. @@ -10025,7 +10026,7 @@ 2009-06-28 Wilson Snyder - * verilog-mode.el (verilog-auto-arg, verilog-auto-arg-sort): + * progmodes/verilog-mode.el (verilog-auto-arg, verilog-auto-arg-sort): Allow sorting AUTOARG lists. Suggested by Andrea Fedeli. (verilog-read-sub-decls-line): Fix AUTOWIRE signals getting lost in concatenations. Reported by Yishay Belkind.