changeset 98022:47addc09dfbc

Fix typos.
author Glenn Morris <rgm@gnu.org>
date Sat, 06 Sep 2008 02:58:12 +0000
parents 4dfc33da438b
children a505cea6cc1b
files lisp/ChangeLog
diffstat 1 files changed, 2 insertions(+), 2 deletions(-) [+]
line wrap: on
line diff
--- a/lisp/ChangeLog	Sat Sep 06 02:56:33 2008 +0000
+++ b/lisp/ChangeLog	Sat Sep 06 02:58:12 2008 +0000
@@ -21,7 +21,7 @@
 	returning wrong search results on Emacs 22.1.
 	(verilog-modi-cache-results, verilog-auto): Fix warning message
 	about "toggling font-lock-mode."
-	(verilog-auto): Fix loosing font-lock on errors.
+	(verilog-auto): Fix losing font-lock on errors.
 	(verilog-auto-inst-param-value, verilog-mode-version)
 	(verilog-mode-version-date, verilog-read-inst-param-value)
 	(verilog-auto-inst, verilog-auto-inst-param)
@@ -37,7 +37,7 @@
 	introduces a statement which requires an endproperty keyword, and
 	sometimes it doesn't, dependening on the work before the property
 	word. If property is prefixed with assert, assume or cover
-	keyword, then the statement is ended with a ';' Otherwise,
+	keyword, then the statement is ended with a ';'.  Otherwise,
 	property is like task or specify, and is followed by some number
 	of statements, which are ended with an endproperty keyword.
 	(electric-verilog-tab): Support Emacs 22.2 style handling of tab