annotate atrac1.c @ 10157:178274d5fa1d libavcodec

Initial commit of the atrac1 decoder, not hooked up yet
author banan
date Thu, 10 Sep 2009 18:47:02 +0000
parents
children e1bb4cf6e659
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
10157
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
1 /*
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
2 * Atrac 1 compatible decoder
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
3 * Copyright (c) 2009 Maxim Poliakovski
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
4 * Copyright (c) 2009 Benjamin Larsson
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
5 *
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
6 * This file is part of FFmpeg.
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
7 *
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
8 * FFmpeg is free software; you can redistribute it and/or
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
9 * modify it under the terms of the GNU Lesser General Public
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
10 * License as published by the Free Software Foundation; either
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
11 * version 2.1 of the License, or (at your option) any later version.
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
12 *
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
13 * FFmpeg is distributed in the hope that it will be useful,
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
14 * but WITHOUT ANY WARRANTY; without even the implied warranty of
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
15 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
16 * Lesser General Public License for more details.
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
17 *
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
18 * You should have received a copy of the GNU Lesser General Public
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
19 * License along with FFmpeg; if not, write to the Free Software
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
20 * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
21 */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
22
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
23 /**
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
24 * @file libavcodec/atrac1.c
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
25 * Atrac 1 compatible decoder.
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
26 * This decoder handles raw ATRAC1 data.
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
27 */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
28
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
29 /* Many thanks to Tim Craig for all the help! */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
30
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
31 #include <math.h>
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
32 #include <stddef.h>
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
33 #include <stdio.h>
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
34
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
35 #include "avcodec.h"
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
36 #include "get_bits.h"
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
37 #include "dsputil.h"
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
38
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
39 #include "atrac.h"
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
40 #include "atrac1data.h"
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
41
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
42 #define AT1_MAX_BFU 52 ///< max number of block floating units in a sound unit
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
43 #define AT1_SU_SIZE 212 ///< number of bytes in a sound unit
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
44 #define AT1_SU_SAMPLES 512 ///< number of samples in a sound unit
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
45 #define AT1_FRAME_SIZE AT1_SU_SIZE * 2
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
46 #define AT1_SU_MAX_BITS AT1_SU_SIZE * 8
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
47 #define AT1_MAX_CHANNELS 2
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
48
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
49 #define AT1_QMF_BANDS 3
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
50 #define IDX_LOW_BAND 0
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
51 #define IDX_MID_BAND 1
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
52 #define IDX_HIGH_BAND 2
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
53
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
54 /**
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
55 * Sound unit struct, one unit is used per channel
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
56 */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
57 typedef struct {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
58 int log2_block_count[AT1_QMF_BANDS]; ///< log2 number of blocks in a band
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
59 int num_bfus; ///< number of Block Floating Units
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
60 int idwls[AT1_MAX_BFU]; ///< the word length indexes for each BFU
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
61 int idsfs[AT1_MAX_BFU]; ///< the scalefactor indexes for each BFU
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
62 float* spectrum[2];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
63 DECLARE_ALIGNED_16(float,spec1[AT1_SU_SAMPLES]); ///< mdct buffer
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
64 DECLARE_ALIGNED_16(float,spec2[AT1_SU_SAMPLES]); ///< mdct buffer
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
65 DECLARE_ALIGNED_16(float,fst_qmf_delay[46]); ///< delay line for the 1st stacked QMF filter
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
66 DECLARE_ALIGNED_16(float,snd_qmf_delay[46]); ///< delay line for the 2nd stacked QMF filter
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
67 DECLARE_ALIGNED_16(float,last_qmf_delay[256+23]); ///< delay line for the last stacked QMF filter
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
68 } AT1SUCtx;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
69
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
70 /**
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
71 * The atrac1 context, holds all needed parameters for decoding
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
72 */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
73 typedef struct {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
74 AT1SUCtx SUs[AT1_MAX_CHANNELS]; ///< channel sound unit
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
75 DECLARE_ALIGNED_16(float,spec[AT1_SU_SAMPLES]); ///< the mdct spectrum buffer
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
76 DECLARE_ALIGNED_16(float,short_buf[64]); ///< buffer for the short mode
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
77 DECLARE_ALIGNED_16(float, low[256]);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
78 DECLARE_ALIGNED_16(float, mid[256]);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
79 DECLARE_ALIGNED_16(float,high[512]);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
80 float* bands[3];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
81 float out_samples[AT1_MAX_CHANNELS][AT1_SU_SAMPLES];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
82 MDCTContext mdct_ctx[3];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
83 int channels;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
84 DSPContext dsp;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
85 } AT1Ctx;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
86
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
87 static float *short_window;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
88 static float *mid_window;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
89 DECLARE_ALIGNED_16(static float, long_window[256]);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
90 static float *window_per_band[3];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
91
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
92 /** size of the transform in samples in the long mode for each QMF band */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
93 static const uint16_t samples_per_band[3] = {128, 128, 256};
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
94 static const uint8_t mdct_long_nbits[3] = {7, 7, 8};
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
95
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
96
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
97 static void at1_imdct(AT1Ctx *q, float *spec, float *out, int nbits, int rev_spec)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
98 {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
99 MDCTContext* mdct_context;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
100 int transf_size = 1 << nbits;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
101
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
102 mdct_context = &q->mdct_ctx[nbits - 5 - (nbits>6)];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
103
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
104 if (rev_spec) {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
105 int i;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
106 for (i=0 ; i<transf_size/2 ; i++)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
107 FFSWAP(float, spec[i], spec[transf_size-1-i]);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
108 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
109 ff_imdct_half(mdct_context,out,spec);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
110 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
111
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
112
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
113 static int at1_imdct_block(AT1SUCtx* su, AT1Ctx *q)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
114 {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
115 int band_num, band_samples, log2_block_count, nbits, num_blocks, block_size;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
116 unsigned int start_pos, ref_pos=0, pos = 0;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
117
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
118 for (band_num=0 ; band_num<AT1_QMF_BANDS ; band_num++) {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
119 band_samples = samples_per_band[band_num];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
120 log2_block_count = su->log2_block_count[band_num];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
121
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
122 /* number of mdct blocks in the current QMF band: 1 - for long mode */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
123 /* 4 for short mode(low/middle bands) and 8 for short mode(high band)*/
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
124 num_blocks = 1 << log2_block_count;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
125
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
126 /* mdct block size in samples: 128 (long mode, low & mid bands), */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
127 /* 256 (long mode, high band) and 32 (short mode, all bands) */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
128 block_size = band_samples >> log2_block_count;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
129
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
130 /* calc transform size in bits according to the block_size_mode */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
131 nbits = mdct_long_nbits[band_num] - log2_block_count;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
132
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
133 if (nbits!=5 && nbits!=7 && nbits!=8)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
134 return -1;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
135
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
136 if (num_blocks == 1) {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
137 at1_imdct(q, &q->spec[pos], &su->spectrum[0][ref_pos], nbits, band_num);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
138 pos += block_size; // move to the next mdct block in the spectrum
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
139 } else {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
140 /* calc start position for the 1st short block: 96(128) or 112(256) */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
141 start_pos = (band_samples * (num_blocks - 1)) >> (log2_block_count + 1);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
142 memset(&su->spectrum[0][ref_pos], 0, sizeof(float) * (band_samples * 2));
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
143
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
144 for (; num_blocks!=0 ; num_blocks--) {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
145 /* use hardcoded nbits for the short mode */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
146 at1_imdct(q, &q->spec[pos], q->short_buf, 5, band_num);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
147
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
148 /* overlap and window between short blocks */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
149 q->dsp.vector_fmul_window(&su->spectrum[0][ref_pos+start_pos],
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
150 &su->spectrum[0][ref_pos+start_pos],q->short_buf,short_window, 0, 16);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
151 start_pos += 32; // use hardcoded block_size
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
152 pos += 32;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
153 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
154 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
155
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
156 /* overlap and window with the previous frame and output the result */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
157 q->dsp.vector_fmul_window(q->bands[band_num], &su->spectrum[1][ref_pos+band_samples/2],
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
158 &su->spectrum[0][ref_pos], window_per_band[band_num], 0, band_samples/2);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
159
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
160 ref_pos += band_samples;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
161 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
162
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
163 /* Swap buffers so the mdct overlap works */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
164 FFSWAP(float*, su->spectrum[0], su->spectrum[1]);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
165
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
166 return 0;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
167 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
168
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
169
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
170 static int at1_parse_block_size_mode(GetBitContext* gb, int log2_block_count[AT1_QMF_BANDS])
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
171 {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
172 int log2_block_count_tmp, i;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
173
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
174 for(i=0 ; i<2 ; i++) {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
175 /* low and mid band */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
176 log2_block_count_tmp = get_bits(gb, 2);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
177 if (log2_block_count_tmp & 1)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
178 return -1;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
179 log2_block_count[i] = 2 - log2_block_count_tmp;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
180 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
181
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
182 /* high band */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
183 log2_block_count_tmp = get_bits(gb, 2);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
184 if (log2_block_count_tmp != 0 && log2_block_count_tmp != 3)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
185 return -1;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
186 log2_block_count[IDX_HIGH_BAND] = 3 - log2_block_count_tmp;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
187
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
188 skip_bits(gb, 2);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
189 return 0;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
190 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
191
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
192
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
193 static int at1_unpack_dequant(GetBitContext* gb, AT1SUCtx* su, float spec[AT1_SU_SAMPLES])
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
194 {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
195 int bits_used, band_num, bfu_num, i;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
196
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
197 /* parse the info byte (2nd byte) telling how much BFUs were coded */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
198 su->num_bfus = bfu_amount_tab1[get_bits(gb, 3)];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
199
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
200 /* calc number of consumed bits:
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
201 num_BFUs * (idwl(4bits) + idsf(6bits)) + log2_block_count(8bits) + info_byte(8bits)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
202 + info_byte_copy(8bits) + log2_block_count_copy(8bits) */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
203 bits_used = su->num_bfus * 10 + 32 +
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
204 bfu_amount_tab2[get_bits(gb, 2)] +
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
205 (bfu_amount_tab3[get_bits(gb, 3)] << 1);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
206
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
207 /* get word length index (idwl) for each BFU */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
208 for (i=0 ; i<su->num_bfus ; i++)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
209 su->idwls[i] = get_bits(gb, 4);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
210
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
211 /* get scalefactor index (idsf) for each BFU */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
212 for (i=0 ; i<su->num_bfus ; i++)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
213 su->idsfs[i] = get_bits(gb, 6);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
214
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
215 /* zero idwl/idsf for empty BFUs */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
216 for (i = su->num_bfus; i < AT1_MAX_BFU; i++)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
217 su->idwls[i] = su->idsfs[i] = 0;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
218
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
219 /* read in the spectral data and reconstruct MDCT spectrum of this channel */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
220 for (band_num=0 ; band_num<AT1_QMF_BANDS ; band_num++) {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
221 for (bfu_num=bfu_bands_t[band_num] ; bfu_num<bfu_bands_t[band_num+1] ; bfu_num++) {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
222 int pos;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
223
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
224 int num_specs = specs_per_bfu[bfu_num];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
225 int word_len = !!su->idwls[bfu_num] + su->idwls[bfu_num];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
226 float scale_factor = sf_table[su->idsfs[bfu_num]];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
227 bits_used += word_len * num_specs; /* add number of bits consumed by current BFU */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
228
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
229 /* check for bitstream overflow */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
230 if (bits_used > AT1_SU_MAX_BITS)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
231 return -1;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
232
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
233 /* get the position of the 1st spec according to the block size mode */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
234 pos = su->log2_block_count[band_num] ? bfu_start_short[bfu_num] : bfu_start_long[bfu_num];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
235
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
236 if (word_len) {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
237 float max_quant = 1.0/(float)((1 << (word_len - 1)) - 1);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
238
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
239 for (i=0 ; i<num_specs ; i++) {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
240 /* read in a quantized spec and convert it to
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
241 * signed int and then inverse quantization
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
242 */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
243 spec[pos+i] = get_sbits(gb, word_len) * scale_factor * max_quant;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
244 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
245 } else { /* word_len = 0 -> empty BFU, zero all specs in the emty BFU */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
246 memset(&spec[pos], 0, num_specs*sizeof(float));
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
247 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
248 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
249 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
250
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
251 return 0;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
252 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
253
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
254
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
255 void at1_subband_synthesis(AT1Ctx *q, AT1SUCtx* su, float *pOut)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
256 {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
257 float temp[256];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
258 float iqmf_temp[512 + 46];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
259
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
260 /* combine low and middle bands */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
261 atrac_iqmf(q->bands[0], q->bands[1], 128, temp, su->fst_qmf_delay, iqmf_temp);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
262
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
263 /* delay the signal of the high band by 23 samples */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
264 memcpy( su->last_qmf_delay, &su->last_qmf_delay[256], sizeof(float)*23);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
265 memcpy(&su->last_qmf_delay[23], q->bands[2], sizeof(float)*256);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
266
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
267 /* combine (low + middle) and high bands */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
268 atrac_iqmf(temp, su->last_qmf_delay, 256, pOut, su->snd_qmf_delay, iqmf_temp);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
269 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
270
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
271
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
272 static int atrac1_decode_frame(AVCodecContext *avctx,
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
273 void *data, int *data_size,
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
274 AVPacket *avpkt)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
275 {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
276 const uint8_t *buf = avpkt->data;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
277 int buf_size = avpkt->size;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
278 AT1Ctx *q = avctx->priv_data;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
279 int ch, ret, i;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
280 GetBitContext gb;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
281 float* samples = data;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
282
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
283
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
284 if (buf_size < 212 * q->channels) {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
285 av_log(q,AV_LOG_ERROR,"Not enought data to decode!\n");
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
286 return -1;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
287 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
288
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
289 for (ch=0 ; ch<q->channels ; ch++) {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
290 AT1SUCtx* su = &q->SUs[ch];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
291
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
292 init_get_bits(&gb, &buf[212*ch], 212*8);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
293
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
294 /* parse block_size_mode, 1st byte */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
295 ret = at1_parse_block_size_mode(&gb, su->log2_block_count);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
296 if (ret < 0)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
297 return ret;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
298
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
299 ret = at1_unpack_dequant(&gb, su, q->spec);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
300 if (ret < 0)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
301 return ret;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
302
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
303 ret = at1_imdct_block(su, q);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
304 if (ret < 0)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
305 return ret;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
306 at1_subband_synthesis(q, su, q->out_samples[ch]);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
307 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
308
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
309 /* round, convert to 16bit and interleave */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
310 if (q->channels == 1) {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
311 /* mono */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
312 q->dsp.vector_clipf(samples, q->out_samples[0], -32700./(1<<15), 32700./(1<<15), AT1_SU_SAMPLES);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
313 } else {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
314 /* stereo */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
315 for (i = 0; i < AT1_SU_SAMPLES; i++) {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
316 samples[i*2] = av_clipf(q->out_samples[0][i], -32700./(1<<15), 32700./(1<<15));
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
317 samples[i*2+1] = av_clipf(q->out_samples[1][i], -32700./(1<<15), 32700./(1<<15));
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
318 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
319 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
320
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
321 *data_size = q->channels * AT1_SU_SAMPLES * sizeof(*samples);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
322 return avctx->block_align;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
323 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
324
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
325
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
326 static av_cold void init_mdct_windows(void)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
327 {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
328 int i;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
329
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
330 /** The mid and long windows uses the same sine window splitted
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
331 * in the middle and wrapped into zero/one regions as follows:
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
332 *
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
333 * region of "ones"
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
334 * -------------
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
335 * /
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
336 * / 1st half
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
337 * / of the sine
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
338 * / window
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
339 * ---------/
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
340 * zero region
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
341 *
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
342 * The mid and short windows are subsets of the long window.
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
343 */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
344
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
345 /* Build "zero" region */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
346 memset(long_window, 0, sizeof(long_window));
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
347 /* Build sine window region */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
348 short_window = &long_window[112];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
349 ff_sine_window_init(short_window,32);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
350 /* Build "ones" region */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
351 for (i = 0; i < 112; i++)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
352 long_window[144 + i] = 1.0f;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
353 /* Save the mid window subset start */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
354 mid_window = &long_window[64];
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
355
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
356 /* Prepare the window table */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
357 window_per_band[0] = mid_window;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
358 window_per_band[1] = mid_window;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
359 window_per_band[2] = long_window;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
360 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
361
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
362 static av_cold int atrac1_decode_init(AVCodecContext *avctx)
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
363 {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
364 AT1Ctx *q = avctx->priv_data;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
365
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
366 avctx->sample_fmt = SAMPLE_FMT_FLT;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
367
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
368 q->channels = avctx->channels;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
369
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
370 /* Init the mdct transforms */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
371 ff_mdct_init(&q->mdct_ctx[0], 6, 1, -1.0/ (1<<15));
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
372 ff_mdct_init(&q->mdct_ctx[1], 8, 1, -1.0/ (1<<15));
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
373 ff_mdct_init(&q->mdct_ctx[2], 9, 1, -1.0/ (1<<15));
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
374 init_mdct_windows();
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
375
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
376 atrac_generate_tables();
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
377
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
378 dsputil_init(&q->dsp, avctx);
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
379
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
380 q->bands[0] = q->low;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
381 q->bands[1] = q->mid;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
382 q->bands[2] = q->high;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
383
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
384 /* Prepare the mdct overlap buffers */
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
385 q->SUs[0].spectrum[0] = q->SUs[0].spec1;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
386 q->SUs[0].spectrum[1] = q->SUs[0].spec2;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
387 q->SUs[1].spectrum[0] = q->SUs[1].spec1;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
388 q->SUs[1].spectrum[1] = q->SUs[1].spec2;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
389
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
390 return 0;
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
391 }
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
392
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
393 AVCodec atrac1_decoder = {
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
394 .name = "atrac1",
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
395 .type = CODEC_TYPE_AUDIO,
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
396 .id = CODEC_ID_ATRAC1,
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
397 .priv_data_size = sizeof(AT1Ctx),
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
398 .init = atrac1_decode_init,
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
399 .close = NULL,
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
400 .decode = atrac1_decode_frame,
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
401 .long_name = NULL_IF_CONFIG_SMALL("Atrac 1 (Adaptive TRansform Acoustic Coding)"),
178274d5fa1d Initial commit of the atrac1 decoder, not hooked up yet
banan
parents:
diff changeset
402 };