annotate lisp/progmodes/verilog-mode.el @ 80355:35dfb85c0e6b

(verilog-easy-menu-filter): New function. (verilog-stmt-menu, verilog-menu): Add :help. (verilog-customize, verilog-font-customize) (electric-verilog-backward-sexp, electric-verilog-forward-sexp) (verilog-mode): Update documentation strings to match tool tips. (verilog-auto-search-do, verilog-auto-re-search-do) (verilog-skip-forward-comment-or-string): Fix verilog-auto expansion when a .* appears inside a string. (verilog-re-search-forward, verilog-re-search-backward): Add comment to recall how this works.
author Dan Nicolaescu <dann@ics.uci.edu>
date Thu, 20 Mar 2008 06:15:05 +0000
parents b7bed0a77336
children
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1 ;; verilog-mode.el --- major mode for editing verilog source in Emacs
79551
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
2
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
3 ;; Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004,
79717
a1342e6e097a Add 2008 to copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79691
diff changeset
4 ;; 2005, 2006, 2007, 2008 Free Software Foundation, Inc.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6 ;; Author: Michael McNamara (mac@verilog.com)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7 ;; http://www.verilog.com
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9 ;; AUTO features, signal, modsig; by: Wilson Snyder
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10 ;; (wsnyder@wsnyder.org)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11 ;; http://www.veripool.com
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
12 ;; Keywords: languages
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
13
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
14 ;; This code supports Emacs 21.1 and later
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
15 ;; And XEmacs 21.1 and later
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
16 ;; Please do not make changes that break Emacs 21. Thanks!
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
17 ;;
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
18 ;;
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
19
79551
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
20 ;; This file is part of GNU Emacs.
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
21
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
22 ;; GNU Emacs is free software; you can redistribute it and/or modify
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
23 ;; it under the terms of the GNU General Public License as published by
79551
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
24 ;; the Free Software Foundation; either version 3, or (at your option)
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
25 ;; any later version.
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
26
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
27 ;; GNU Emacs is distributed in the hope that it will be useful,
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
28 ;; but WITHOUT ANY WARRANTY; without even the implied warranty of
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
29 ;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
30 ;; GNU General Public License for more details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
31
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
32 ;; You should have received a copy of the GNU General Public License
79551
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
33 ;; along with GNU Emacs; see the file COPYING. If not, write to the
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
34 ;; Free Software Foundation, Inc., 51 Franklin Street, Fifth Floor,
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
35 ;; Boston, MA 02110-1301, USA.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
36
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
37 ;;; Commentary:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
38
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
39 ;; This mode borrows heavily from the Pascal-mode and the cc-mode of Emacs
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
40
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
41 ;; USAGE
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
42 ;; =====
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
43
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
44 ;; A major mode for editing Verilog HDL source code. When you have
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
45 ;; entered Verilog mode, you may get more info by pressing C-h m. You
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
46 ;; may also get online help describing various functions by: C-h f
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
47 ;; <Name of function you want described>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
48
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
49 ;; KNOWN BUGS / BUG REPORTS
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
50 ;; =======================
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
51
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
52 ;; Verilog is a rapidly evolving language, and hence this mode is
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
53 ;; under continuous development. Hence this is beta code, and likely
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
54 ;; has bugs. Please report any and all bugs to me at mac@verilog.com.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
55 ;; Please use verilog-submit-bug-report to submit a report; type C-c
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
56 ;; C-b to invoke this and as a result I will have a much easier time
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
57 ;; of reproducing the bug you find, and hence fixing it.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
58
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
59 ;; INSTALLING THE MODE
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
60 ;; ===================
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
61
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
62 ;; An older version of this mode may be already installed as a part of
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
63 ;; your environment, and one method of updating would be to update
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
64 ;; your Emacs environment. Sometimes this is difficult for local
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
65 ;; political/control reasons, and hence you can always install a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
66 ;; private copy (or even a shared copy) which overrides the system
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
67 ;; default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
68
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
69 ;; You can get step by step help in installing this file by going to
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
70 ;; <http://www.verilog.com/emacs_install.html>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
71
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
72 ;; The short list of installation instructions are: To set up
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
73 ;; automatic Verilog mode, put this file in your load path, and put
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
74 ;; the following in code (please un comment it first!) in your
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
75 ;; .emacs, or in your site's site-load.el
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
76
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
77 ; (autoload 'verilog-mode "verilog-mode" "Verilog mode" t )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
78 ; (setq auto-mode-alist (cons '("\\.v\\'" . verilog-mode) auto-mode-alist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
79 ; (setq auto-mode-alist (cons '("\\.dv\\'" . verilog-mode) auto-mode-alist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
80
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
81 ;; If you want to customize Verilog mode to fit your needs better,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
82 ;; you may add these lines (the values of the variables presented
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
83 ;; here are the defaults). Note also that if you use an Emacs that
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
84 ;; supports custom, it's probably better to use the custom menu to
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
85 ;; edit these.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
86 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
87 ;; Be sure to examine at the help for verilog-auto, and the other
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
88 ;; verilog-auto-* functions for some major coding time savers.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
89 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
90 ; ;; User customization for Verilog mode
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
91 ; (setq verilog-indent-level 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
92 ; verilog-indent-level-module 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
93 ; verilog-indent-level-declaration 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
94 ; verilog-indent-level-behavioral 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
95 ; verilog-indent-level-directive 1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
96 ; verilog-case-indent 2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
97 ; verilog-auto-newline t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
98 ; verilog-auto-indent-on-newline t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
99 ; verilog-tab-always-indent t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
100 ; verilog-auto-endcomments t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
101 ; verilog-minimum-comment-distance 40
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
102 ; verilog-indent-begin-after-if t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
103 ; verilog-auto-lineup '(all)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
104 ; verilog-highlight-p1800-keywords nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
105 ; verilog-linter "my_lint_shell_command"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
106 ; )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
107
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
108 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
109
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
110 ;;; History:
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
111 ;;
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
112 ;; See commit history at http://www.veripool.com/verilog-mode.html
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
113 ;; (This section is required to appease checkdoc.)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
114
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
115 ;;; Code:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
116
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
117 ;; This variable will always hold the version number of the mode
80267
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
118 (defconst verilog-mode-version "404"
80143
adbd1e116992 (verilog-mode-version, verilog-mode-release-date, erilog-mode-release-emacs,
Juanma Barranquero <lekktu@gmail.com>
parents: 80141
diff changeset
119 "Version of this Verilog mode.")
80267
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
120 (defconst verilog-mode-release-date "2008-03-02-GNU"
80143
adbd1e116992 (verilog-mode-version, verilog-mode-release-date, erilog-mode-release-emacs,
Juanma Barranquero <lekktu@gmail.com>
parents: 80141
diff changeset
121 "Release date of this Verilog mode.")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
122 (defconst verilog-mode-release-emacs t
80143
adbd1e116992 (verilog-mode-version, verilog-mode-release-date, erilog-mode-release-emacs,
Juanma Barranquero <lekktu@gmail.com>
parents: 80141
diff changeset
123 "If non-nil, this version of Verilog mode was released with Emacs itself.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
124
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
125 (defun verilog-version ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
126 "Inform caller of the version of this file."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
127 (interactive)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
128 (message "Using verilog-mode version %s" verilog-mode-version))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
129
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
130 ;; Insure we have certain packages, and deal with it if we don't
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
131 ;; Be sure to note which Emacs flavor and version added each feature.
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
132 (eval-when-compile
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
133 ;; The below were disabled when GNU Emacs 22 was released;
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
134 ;; perhaps some still need to be there to support Emacs 21.
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
135 (when (featurep 'xemacs)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
136 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
137 (require 'easymenu)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
138 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
139 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
140 (require 'regexp-opt)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
141 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
142 ;; Bug in 19.28 through 19.30 skeleton.el, not provided.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
143 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
144 (load "skeleton")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
145 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
146 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
147 (if (fboundp 'when)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
148 nil ;; fab
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
149 (defmacro when (cond &rest body)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
150 (list 'if cond (cons 'progn body))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
151 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
152 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
153 (if (fboundp 'unless)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
154 nil ;; fab
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
155 (defmacro unless (cond &rest body)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
156 (cons 'if (cons cond (cons nil body)))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
157 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
158 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
159 (if (fboundp 'store-match-data)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
160 nil ;; fab
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
161 (defmacro store-match-data (&rest args) nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
162 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
163 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
164 (if (fboundp 'char-before)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
165 nil ;; great
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
166 (defmacro char-before (&rest body)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
167 (char-after (1- (point)))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
168 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
169 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
170 (require 'custom)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
171 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
172 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
173 (if (fboundp 'match-string-no-properties)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
174 nil ;; great
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
175 (defsubst match-string-no-properties (num &optional string)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
176 "Return string of text matched by last search, without text properties.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
177 NUM specifies which parenthesized expression in the last regexp.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
178 Value is nil if NUMth pair didn't match, or there were less than NUM pairs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
179 Zero means the entire text matched by the whole regexp or whole string.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
180 STRING should be given if the last search was by `string-match' on STRING."
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
181 (if (match-beginning num)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
182 (if string
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
183 (let ((result
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
184 (substring string
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
185 (match-beginning num) (match-end num))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
186 (set-text-properties 0 (length result) nil result)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
187 result)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
188 (buffer-substring-no-properties (match-beginning num)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
189 (match-end num)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
190 (current-buffer)))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
191 )
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
192 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
193 (if (and (featurep 'custom) (fboundp 'custom-declare-variable))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
194 nil ;; We've got what we needed
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
195 ;; We have the old custom-library, hack around it!
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
196 (defmacro defgroup (&rest args) nil)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
197 (defmacro customize (&rest args)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
198 (message
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
199 "Sorry, Customize is not available with this version of Emacs"))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
200 (defmacro defcustom (var value doc &rest args)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
201 `(defvar ,var ,value ,doc))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
202 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
203 (if (fboundp 'defface)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
204 nil ; great!
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
205 (defmacro defface (var values doc &rest args)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
206 `(make-face ,var))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
207 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
208
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
209 (if (and (featurep 'custom) (fboundp 'customize-group))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
210 nil ;; We've got what we needed
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
211 ;; We have an intermediate custom-library, hack around it!
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
212 (defmacro customize-group (var &rest args)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
213 `(customize ,var))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
214 )))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
215
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
216 ;; Provide a regular expression optimization routine, using regexp-opt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
217 ;; if provided by the user's elisp libraries
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
218 (eval-and-compile
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
219 ;; The below were disabled when GNU Emacs 22 was released;
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
220 ;; perhaps some still need to be there to support Emacs 21.
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
221 (if (featurep 'xemacs)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
222 (if (fboundp 'regexp-opt)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
223 ;; regexp-opt is defined, does it take 3 or 2 arguments?
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
224 (if (fboundp 'function-max-args)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
225 (let ((args (function-max-args `regexp-opt)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
226 (cond
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
227 ((eq args 3) ;; It takes 3
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
228 (condition-case nil ; Hide this defun from emacses
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
229 ;with just a two input regexp
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
230 (defun verilog-regexp-opt (a b)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
231 "Deal with differing number of required arguments for `regexp-opt'.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
232 Call 'regexp-opt' on A and B."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
233 (regexp-opt a b 't))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
234 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
235 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
236 ((eq args 2) ;; It takes 2
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
237 (defun verilog-regexp-opt (a b)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
238 "Call 'regexp-opt' on A and B."
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
239 (regexp-opt a b))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
240 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
241 (t nil)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
242 ;; We can't tell; assume it takes 2
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
243 (defun verilog-regexp-opt (a b)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
244 "Call 'regexp-opt' on A and B."
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
245 (regexp-opt a b))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
246 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
247 ;; There is no regexp-opt, provide our own
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
248 (defun verilog-regexp-opt (strings &optional paren shy)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
249 (let ((open (if paren "\\(" "")) (close (if paren "\\)" "")))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
250 (concat open (mapconcat 'regexp-quote strings "\\|") close)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
251 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
252 ;; Emacs.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
253 (defalias 'verilog-regexp-opt 'regexp-opt)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
254
79555
52d2889ab3d8 (set-buffer-menubar): Remove unused
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79554
diff changeset
255 (eval-when-compile
52d2889ab3d8 (set-buffer-menubar): Remove unused
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79554
diff changeset
256 (defun verilog-regexp-words (a)
52d2889ab3d8 (set-buffer-menubar): Remove unused
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79554
diff changeset
257 "Call 'regexp-opt' with word delimiters for the words A."
52d2889ab3d8 (set-buffer-menubar): Remove unused
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79554
diff changeset
258 (concat "\\<" (verilog-regexp-opt a t) "\\>")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
259
80355
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
260 (defun verilog-easy-menu-filter (menu)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
261 "Filter a easy-menu-define to support new features."
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
262 (cond ((not (featurep 'xemacs))
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
263 menu) ;; GNU Emacs - passthru
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
264 ;; Xemacs doesn't support :help. Strip it.
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
265 ;; Recursively filter the a submenu
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
266 ((listp menu)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
267 (mapcar 'verilog-easy-menu-filter menu))
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
268 ;; Look for [:help "blah"] and remove
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
269 ((vectorp menu)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
270 (let ((i 0) (out []))
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
271 (while (< i (length menu))
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
272 (if (equal `:help (aref menu i))
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
273 (setq i (+ 2 i))
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
274 (setq out (vconcat out (vector (aref menu i)))
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
275 i (1+ i))))
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
276 out))
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
277 (t menu))) ;; Default - ok
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
278 ;;(verilog-easy-menu-filter
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
279 ;; `("Verilog" ("MA" ["SAA" nil :help "Help SAA"] ["SAB" nil :help "Help SAA"])
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
280 ;; "----" ["MB" nil :help "Help MB"]))
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
281
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
282 (defun verilog-customize ()
80355
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
283 "Customize variables and other settings used by Verilog-Mode."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
284 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
285 (customize-group 'verilog-mode))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
286
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
287 (defun verilog-font-customize ()
80355
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
288 "Customize fonts used by Verilog-Mode."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
289 (interactive)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
290 (if (fboundp 'customize-apropos)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
291 (customize-apropos "font-lock-*" 'faces)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
292
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
293 (defun verilog-booleanp (value)
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
294 "Return t if VALUE is boolean.
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
295 This implements GNU Emacs 22.1's `booleanp' function in earlier Emacs.
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
296 This function may be removed when Emacs 21 is no longer supported."
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
297 (or (equal value t) (equal value nil)))
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
298
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
299 (defgroup verilog-mode nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
300 "Facilitates easy editing of Verilog source text."
80261
4af0bb174714 * textmodes/css-mode.el (css-indent-offset, css-electric-keys):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80172
diff changeset
301 :version "22.2"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
302 :group 'languages)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
303
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
304 ; (defgroup verilog-mode-fonts nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
305 ; "Facilitates easy customization fonts used in Verilog source text"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
306 ; :link '(customize-apropos "font-lock-*" 'faces)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
307 ; :group 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
308
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
309 (defgroup verilog-mode-indent nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
310 "Customize indentation and highlighting of Verilog source text."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
311 :group 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
312
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
313 (defgroup verilog-mode-actions nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
314 "Customize actions on Verilog source text."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
315 :group 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
316
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
317 (defgroup verilog-mode-auto nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
318 "Customize AUTO actions when expanding Verilog source text."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
319 :group 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
320
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
321 (defcustom verilog-linter
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
322 "echo 'No verilog-linter set, see \"M-x describe-variable verilog-linter\"'"
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
323 "*Unix program and arguments to call to run a lint checker on Verilog source.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
324 Depending on the `verilog-set-compile-command', this may be invoked when
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
325 you type \\[compile]. When the compile completes, \\[next-error] will take
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
326 you to the next lint error."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
327 :type 'string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
328 :group 'verilog-mode-actions)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
329 ;; We don't mark it safe, as it's used as a shell command
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
330
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
331 (defcustom verilog-coverage
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
332 "echo 'No verilog-coverage set, see \"M-x describe-variable verilog-coverage\"'"
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
333 "*Program and arguments to use to annotate for coverage Verilog source.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
334 Depending on the `verilog-set-compile-command', this may be invoked when
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
335 you type \\[compile]. When the compile completes, \\[next-error] will take
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
336 you to the next lint error."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
337 :type 'string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
338 :group 'verilog-mode-actions)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
339 ;; We don't mark it safe, as it's used as a shell command
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
340
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
341 (defcustom verilog-simulator
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
342 "echo 'No verilog-simulator set, see \"M-x describe-variable verilog-simulator\"'"
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
343 "*Program and arguments to use to interpret Verilog source.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
344 Depending on the `verilog-set-compile-command', this may be invoked when
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
345 you type \\[compile]. When the compile completes, \\[next-error] will take
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
346 you to the next lint error."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
347 :type 'string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
348 :group 'verilog-mode-actions)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
349 ;; We don't mark it safe, as it's used as a shell command
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
350
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
351 (defcustom verilog-compiler
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
352 "echo 'No verilog-compiler set, see \"M-x describe-variable verilog-compiler\"'"
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
353 "*Program and arguments to use to compile Verilog source.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
354 Depending on the `verilog-set-compile-command', this may be invoked when
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
355 you type \\[compile]. When the compile completes, \\[next-error] will take
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
356 you to the next lint error."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
357 :type 'string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
358 :group 'verilog-mode-actions)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
359 ;; We don't mark it safe, as it's used as a shell command
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
360
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
361 (defvar verilog-tool 'verilog-linter
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
362 "Which tool to use for building compiler-command.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
363 Either nil, `verilog-linter, `verilog-coverage, `verilog-simulator, or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
364 `verilog-compiler. Alternatively use the \"Choose Compilation Action\"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
365 menu. See `verilog-set-compile-command' for more information.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
366
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
367 (defcustom verilog-highlight-translate-off nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
368 "*Non-nil means background-highlight code excluded from translation.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
369 That is, all code between \"// synopsys translate_off\" and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
370 \"// synopsys translate_on\" is highlighted using a different background color
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
371 \(face `verilog-font-lock-translate-off-face').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
372
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
373 Note: This will slow down on-the-fly fontification (and thus editing).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
374
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
375 Note: Activate the new setting in a Verilog buffer by re-fontifying it (menu
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
376 entry \"Fontify Buffer\"). XEmacs: turn off and on font locking."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
377 :type 'boolean
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
378 :group 'verilog-mode-indent)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
379 ;; Note we don't use :safe, as that would break on Emacsen before 22.0.
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
380 (put 'verilog-highlight-translate-off 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
381
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
382 (defcustom verilog-indent-level 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
383 "*Indentation of Verilog statements with respect to containing block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
384 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
385 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
386 (put 'verilog-indent-level 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
387
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
388 (defcustom verilog-indent-level-module 3
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
389 "*Indentation of Module level Verilog statements (eg always, initial).
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
390 Set to 0 to get initial and always statements lined up on the left side of
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
391 your screen."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
392 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
393 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
394 (put 'verilog-indent-level-module 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
395
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
396 (defcustom verilog-indent-level-declaration 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
397 "*Indentation of declarations with respect to containing block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
398 Set to 0 to get them list right under containing block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
399 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
400 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
401 (put 'verilog-indent-level-declaration 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
402
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
403 (defcustom verilog-indent-declaration-macros nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
404 "*How to treat macro expansions in a declaration.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
405 If nil, indent as:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
406 input [31:0] a;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
407 input `CP;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
408 output c;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
409 If non nil, treat as:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
410 input [31:0] a;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
411 input `CP ;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
412 output c;"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
413 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
414 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
415 (put 'verilog-indent-declaration-macros 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
416
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
417 (defcustom verilog-indent-lists t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
418 "*How to treat indenting items in a list.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
419 If t (the default), indent as:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
420 always @( posedge a or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
421 reset ) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
422
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
423 If nil, treat as:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
424 always @( posedge a or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
425 reset ) begin"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
426 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
427 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
428 (put 'verilog-indent-lists 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
429
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
430 (defcustom verilog-indent-level-behavioral 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
431 "*Absolute indentation of first begin in a task or function block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
432 Set to 0 to get such code to start at the left side of the screen."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
433 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
434 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
435 (put 'verilog-indent-level-behavioral 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
436
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
437 (defcustom verilog-indent-level-directive 1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
438 "*Indentation to add to each level of `ifdef declarations.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
439 Set to 0 to have all directives start at the left side of the screen."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
440 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
441 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
442 (put 'verilog-indent-level-directive 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
443
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
444 (defcustom verilog-cexp-indent 2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
445 "*Indentation of Verilog statements split across lines."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
446 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
447 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
448 (put 'verilog-cexp-indent 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
449
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
450 (defcustom verilog-case-indent 2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
451 "*Indentation for case statements."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
452 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
453 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
454 (put 'verilog-case-indent 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
455
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
456 (defcustom verilog-auto-newline t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
457 "*True means automatically newline after semicolons."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
458 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
459 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
460 (put 'verilog-auto-newline 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
461
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
462 (defcustom verilog-auto-indent-on-newline t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
463 "*True means automatically indent line after newline."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
464 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
465 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
466 (put 'verilog-auto-indent-on-newline 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
467
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
468 (defcustom verilog-tab-always-indent t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
469 "*True means TAB should always re-indent the current line.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
470 A nil value means TAB will only reindent when at the beginning of the line."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
471 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
472 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
473 (put 'verilog-tab-always-indent 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
474
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
475 (defcustom verilog-tab-to-comment nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
476 "*True means TAB moves to the right hand column in preparation for a comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
477 :group 'verilog-mode-actions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
478 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
479 (put 'verilog-tab-to-comment 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
480
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
481 (defcustom verilog-indent-begin-after-if t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
482 "*If true, indent begin statements following if, else, while, for and repeat.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
483 Otherwise, line them up."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
484 :group 'verilog-mode-indent
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
485 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
486 (put 'verilog-indent-begin-after-if 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
487
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
488
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
489 (defcustom verilog-align-ifelse nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
490 "*If true, align `else' under matching `if'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
491 Otherwise else is lined up with first character on line holding matching if."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
492 :group 'verilog-mode-indent
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
493 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
494 (put 'verilog-align-ifelse 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
495
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
496 (defcustom verilog-minimum-comment-distance 10
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
497 "*Minimum distance (in lines) between begin and end required before a comment.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
498 Setting this variable to zero results in every end acquiring a comment; the
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
499 default avoids too many redundant comments in tight quarters."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
500 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
501 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
502 (put 'verilog-minimum-comment-distance 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
503
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
504 (defcustom verilog-auto-lineup '(declaration)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
505 "*Algorithm for lining up statements on multiple lines.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
506
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
507 If this list contains the symbol 'all', then all line ups described below
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
508 are done.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
509
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
510 If this list contains the symbol 'declaration', then declarations are lined up
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
511 with any preceding declarations, taking into account widths and the like, so
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
512 for example the code:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
513 reg [31:0] a;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
514 reg b;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
515 would become
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
516 reg [31:0] a;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
517 reg b;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
518
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
519 If this list contains the symbol 'assignment', then assignments are lined up
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
520 with any preceding assignments, so for example the code
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
521 a_long_variable = b + c;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
522 d = e + f;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
523 would become
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
524 a_long_variable = b + c;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
525 d = e + f;"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
526
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
527 ;; The following is not implemented:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
528 ;If this list contains the symbol 'case', then case items are lined up
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
529 ;with any preceding case items, so for example the code
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
530 ; case (a) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
531 ; a_long_state : a = 3;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
532 ; b: a = 4;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
533 ; endcase
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
534 ;would become
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
535 ; case (a) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
536 ; a_long_state : a = 3;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
537 ; b : a = 4;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
538 ; endcase
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
539 ;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
540
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
541 :group 'verilog-mode-indent
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
542 :type 'list)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
543 (put 'verilog-auto-lineup 'safe-local-variable 'listp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
544
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
545 (defcustom verilog-highlight-p1800-keywords nil
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
546 "*True means highlight words newly reserved by IEEE-1800.
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
547 These will appear in `verilog-font-lock-p1800-face' in order to gently
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
548 suggest changing where these words are used as variables to something else.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
549 A nil value means highlight these words as appropriate for the SystemVerilog
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
550 IEEE-1800 standard. Note that changing this will require restarting Emacs
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
551 to see the effect as font color choices are cached by Emacs."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
552 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
553 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
554 (put 'verilog-highlight-p1800-keywords 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
555
80267
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
556 (defcustom verilog-highlight-grouping-keywords nil
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
557 "*True means highlight grouping keywords 'begin' and 'end' more dramatically.
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
558 If false, these words are in the font-lock-type-face; if True then they are in
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
559 `verilog-font-lock-ams-face'. Some find that special highlighting on these
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
560 grouping constructs allow the structure of the code to be understood at a glance."
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
561 :group 'verilog-mode-indent
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
562 :type 'boolean)
80270
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
563 (put 'verilog-highlight-grouping-keywords 'safe-local-variable 'verilog-booleanp)
80267
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
564
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
565 (defcustom verilog-auto-endcomments t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
566 "*True means insert a comment /* ... */ after 'end's.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
567 The name of the function or case will be set between the braces."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
568 :group 'verilog-mode-actions
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
569 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
570 (put 'verilog-auto-endcomments 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
571
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
572 (defcustom verilog-auto-read-includes nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
573 "*True means to automatically read includes before AUTOs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
574 This will do a `verilog-read-defines' and `verilog-read-includes' before
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
575 each AUTO expansion. This makes it easier to embed defines and includes,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
576 but can result in very slow reading times if there are many or large
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
577 include files."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
578 :group 'verilog-mode-actions
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
579 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
580 (put 'verilog-auto-read-includes 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
581
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
582 (defcustom verilog-auto-save-policy nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
583 "*Non-nil indicates action to take when saving a Verilog buffer with AUTOs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
584 A value of `force' will always do a \\[verilog-auto] automatically if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
585 needed on every save. A value of `detect' will do \\[verilog-auto]
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
586 automatically when it thinks necessary. A value of `ask' will query the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
587 user when it thinks updating is needed.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
588
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
589 You should not rely on the 'ask or 'detect policies, they are safeguards
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
590 only. They do not detect when AUTOINSTs need to be updated because a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
591 sub-module's port list has changed."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
592 :group 'verilog-mode-actions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
593 :type '(choice (const nil) (const ask) (const detect) (const force)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
594
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
595 (defcustom verilog-auto-star-expand t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
596 "*Non-nil indicates to expand a SystemVerilog .* instance ports.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
597 They will be expanded in the same way as if there was a AUTOINST in the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
598 instantiation. See also `verilog-auto-star' and `verilog-auto-star-save'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
599 :group 'verilog-mode-actions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
600 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
601 (put 'verilog-auto-star-expand 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
602
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
603 (defcustom verilog-auto-star-save nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
604 "*Non-nil indicates to save to disk SystemVerilog .* instance expansions.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
605 A nil value indicates direct connections will be removed before saving.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
606 Only meaningful to those created due to `verilog-auto-star-expand' being set.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
607
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
608 Instead of setting this, you may want to use /*AUTOINST*/, which will
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
609 always be saved."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
610 :group 'verilog-mode-actions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
611 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
612 (put 'verilog-auto-star-save 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
613
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
614 (defvar verilog-auto-update-tick nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
615 "Modification tick at which autos were last performed.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
616
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
617 (defvar verilog-auto-last-file-locals nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
618 "Text from file-local-variables during last evaluation.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
619
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
620 (defvar verilog-error-regexp-add-didit nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
621 (defvar verilog-error-regexp nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
622 (setq verilog-error-regexp-add-didit nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
623 verilog-error-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
624 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
625 ; SureLint
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
626 ;; ("[^\n]*\\[\\([^:]+\\):\\([0-9]+\\)\\]" 1 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
627 ; Most SureFire tools
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
628 ("\\(WARNING\\|ERROR\\|INFO\\)[^:]*: \\([^,]+\\), \\(line \\|\\)\\([0-9]+\\):" 2 4 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
629 ("\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
630 \\([a-zA-Z]?:?[^:( \t\n]+\\)[:(][ \t]*\\([0-9]+\\)\\([) \t]\\|\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
631 :\\([^0-9\n]\\|\\([0-9]+:\\)\\)\\)" 1 2 5)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
632 ; xsim
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
633 ; Error! in file /homes/mac/Axis/Xsim/test.v at line 13 [OBJ_NOT_DECLARED]
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
634 ("\\(Error\\|Warning\\).*in file (\\([^ \t]+\\) at line *\\([0-9]+\\))" 2 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
635 ; vcs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
636 ("\\(Error\\|Warning\\):[^(]*(\\([^ \t]+\\) line *\\([0-9]+\\))" 2 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
637 ("Warning:.*(port.*(\\([^ \t]+\\) line \\([0-9]+\\))" 1 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
638 ("\\(Error\\|Warning\\):[\n.]*\\([^ \t]+\\) *\\([0-9]+\\):" 2 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
639 ("syntax error:.*\n\\([^ \t]+\\) *\\([0-9]+\\):" 1 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
640 ; Verilator
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
641 ("%?\\(Error\\|Warning\\)\\(-[^:]+\\|\\):[\n ]*\\([^ \t:]+\\):\\([0-9]+\\):" 3 4)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
642 ("%?\\(Error\\|Warning\\)\\(-[^:]+\\|\\):[\n ]*\\([^ \t:]+\\):\\([0-9]+\\):" 3 4)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
643 ; vxl
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
644 ("\\(Error\\|Warning\\)!.*\n?.*\"\\([^\"]+\\)\", \\([0-9]+\\)" 2 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
645 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+\\([0-9]+\\):.*$" 1 2) ; vxl
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
646 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+line[ \t]+\\([0-9]+\\):.*$" 1 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
647 ; nc-verilog
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
648 (".*\\*[WE],[0-9A-Z]+ (\\([^ \t,]+\\),\\([0-9]+\\)|" 1 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
649 ; Leda
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
650 ("In file \\([^ \t]+\\)[ \t]+line[ \t]+\\([0-9]+\\):\n[^\n]*\n[^\n]*\n\\[\\(Warning\\|Error\\|Failure\\)\\][^\n]*" 1 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
651 )
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
652 ; "*List of regexps for Verilog compilers, like verilint. See compilation-error-regexp-alist for the formatting."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
653 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
654
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
655 (defvar verilog-error-font-lock-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
656 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
657 ("[^\n]*\\[\\([^:]+\\):\\([0-9]+\\)\\]" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
658 ("[^\n]*\\[\\([^:]+\\):\\([0-9]+\\)\\]" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
659
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
660 ("\\(WARNING\\|ERROR\\|INFO\\): \\([^,]+\\), line \\([0-9]+\\):" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
661 ("\\(WARNING\\|ERROR\\|INFO\\): \\([^,]+\\), line \\([0-9]+\\):" 3 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
662
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
663 ("\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
664 \\([a-zA-Z]?:?[^:( \t\n]+\\)[:(][ \t]*\\([0-9]+\\)\\([) \t]\\|\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
665 :\\([^0-9\n]\\|\\([0-9]+:\\)\\)\\)" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
666 ("\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
667 \\([a-zA-Z]?:?[^:( \t\n]+\\)[:(][ \t]*\\([0-9]+\\)\\([) \t]\\|\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
668 :\\([^0-9\n]\\|\\([0-9]+:\\)\\)\\)" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
669
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
670 ("\\(Error\\|Warning\\):[^(]*(\\([^ \t]+\\) line *\\([0-9]+\\))" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
671 ("\\(Error\\|Warning\\):[^(]*(\\([^ \t]+\\) line *\\([0-9]+\\))" 3 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
672
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
673 ("%?\\(Error\\|Warning\\)\\(-[^:]+\\|\\):[\n ]*\\([^ \t:]+\\):\\([0-9]+\\):" 3 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
674 ("%?\\(Error\\|Warning\\)\\(-[^:]+\\|\\):[\n ]*\\([^ \t:]+\\):\\([0-9]+\\):" 4 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
675
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
676 ("Warning:.*(port.*(\\([^ \t]+\\) line \\([0-9]+\\))" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
677 ("Warning:.*(port.*(\\([^ \t]+\\) line \\([0-9]+\\))" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
678
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
679 ("\\(Error\\|Warning\\):[\n.]*\\([^ \t]+\\) *\\([0-9]+\\):" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
680 ("\\(Error\\|Warning\\):[\n.]*\\([^ \t]+\\) *\\([0-9]+\\):" 3 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
681
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
682 ("syntax error:.*\n\\([^ \t]+\\) *\\([0-9]+\\):" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
683 ("syntax error:.*\n\\([^ \t]+\\) *\\([0-9]+\\):" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
684 ; vxl
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
685 ("\\(Error\\|Warning\\)!.*\n?.*\"\\([^\"]+\\)\", \\([0-9]+\\)" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
686 ("\\(Error\\|Warning\\)!.*\n?.*\"\\([^\"]+\\)\", \\([0-9]+\\)" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
687
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
688 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+\\([0-9]+\\):.*$" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
689 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+\\([0-9]+\\):.*$" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
690
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
691 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+line[ \t]+\\([0-9]+\\):.*$" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
692 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+line[ \t]+\\([0-9]+\\):.*$" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
693 ; nc-verilog
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
694 (".*[WE],[0-9A-Z]+ (\\([^ \t,]+\\),\\([0-9]+\\)|" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
695 (".*[WE],[0-9A-Z]+ (\\([^ \t,]+\\),\\([0-9]+\\)|" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
696 ; Leda
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
697 ("In file \\([^ \t]+\\)[ \t]+line[ \t]+\\([0-9]+\\):\n[^\n]*\n[^\n]*\n\\[\\(Warning\\|Error\\|Failure\\)\\][^\n]*" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
698 ("In file \\([^ \t]+\\)[ \t]+line[ \t]+\\([0-9]+\\):\n[^\n]*\n[^\n]*\n\\[\\(Warning\\|Error\\|Failure\\)\\][^\n]*" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
699 )
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
700 "*Keywords to also highlight in Verilog *compilation* buffers.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
701
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
702 (defcustom verilog-library-flags '("")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
703 "*List of standard Verilog arguments to use for /*AUTOINST*/.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
704 These arguments are used to find files for `verilog-auto', and match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
705 the flags accepted by a standard Verilog-XL simulator.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
706
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
707 -f filename Reads more `verilog-library-flags' from the filename.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
708 +incdir+dir Adds the directory to `verilog-library-directories'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
709 -Idir Adds the directory to `verilog-library-directories'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
710 -y dir Adds the directory to `verilog-library-directories'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
711 +libext+.v Adds the extensions to `verilog-library-extensions'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
712 -v filename Adds the filename to `verilog-library-files'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
713
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
714 filename Adds the filename to `verilog-library-files'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
715 This is not recommended, -v is a better choice.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
716
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
717 You might want these defined in each file; put at the *END* of your file
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
718 something like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
719
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
720 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
721 // verilog-library-flags:(\"-y dir -y otherdir\")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
722 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
723
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
724 Verilog-mode attempts to detect changes to this local variable, but they
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
725 are only insured to be correct when the file is first visited. Thus if you
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
726 have problems, use \\[find-alternate-file] RET to have these take effect.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
727
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
728 See also the variables mentioned above."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
729 :group 'verilog-mode-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
730 :type '(repeat string))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
731 (put 'verilog-library-flags 'safe-local-variable 'listp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
732
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
733 (defcustom verilog-library-directories '(".")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
734 "*List of directories when looking for files for /*AUTOINST*/.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
735 The directory may be relative to the current file, or absolute.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
736 Environment variables are also expanded in the directory names.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
737 Having at least the current directory is a good idea.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
738
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
739 You might want these defined in each file; put at the *END* of your file
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
740 something like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
741
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
742 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
743 // verilog-library-directories:(\".\" \"subdir\" \"subdir2\")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
744 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
745
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
746 Verilog-mode attempts to detect changes to this local variable, but they
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
747 are only insured to be correct when the file is first visited. Thus if you
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
748 have problems, use \\[find-alternate-file] RET to have these take effect.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
749
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
750 See also `verilog-library-flags', `verilog-library-files'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
751 and `verilog-library-extensions'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
752 :group 'verilog-mode-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
753 :type '(repeat file))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
754 (put 'verilog-library-directories 'safe-local-variable 'listp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
755
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
756 (defcustom verilog-library-files '()
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
757 "*List of files to search for modules.
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
758 AUTOINST will use this when it needs to resolve a module name.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
759 This is a complete path, usually to a technology file with many standard
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
760 cells defined in it.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
761
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
762 You might want these defined in each file; put at the *END* of your file
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
763 something like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
764
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
765 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
766 // verilog-library-files:(\"/some/path/technology.v\" \"/some/path/tech2.v\")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
767 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
768
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
769 Verilog-mode attempts to detect changes to this local variable, but they
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
770 are only insured to be correct when the file is first visited. Thus if you
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
771 have problems, use \\[find-alternate-file] RET to have these take effect.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
772
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
773 See also `verilog-library-flags', `verilog-library-directories'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
774 :group 'verilog-mode-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
775 :type '(repeat directory))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
776 (put 'verilog-library-files 'safe-local-variable 'listp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
777
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
778 (defcustom verilog-library-extensions '(".v")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
779 "*List of extensions to use when looking for files for /*AUTOINST*/.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
780 See also `verilog-library-flags', `verilog-library-directories'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
781 :type '(repeat string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
782 :group 'verilog-mode-auto)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
783 (put 'verilog-library-extensions 'safe-local-variable 'listp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
784
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
785 (defcustom verilog-active-low-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
786 "*If set, treat signals matching this regexp as active low.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
787 This is used for AUTORESET and AUTOTIEOFF. For proper behavior,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
788 you will probably also need `verilog-auto-reset-widths' set."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
789 :group 'verilog-mode-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
790 :type 'string)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
791 (put 'verilog-active-low-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
792
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
793 (defcustom verilog-auto-sense-include-inputs nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
794 "*If true, AUTOSENSE should include all inputs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
795 If nil, only inputs that are NOT output signals in the same block are
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
796 included."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
797 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
798 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
799 (put 'verilog-auto-sense-include-inputs 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
800
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
801 (defcustom verilog-auto-sense-defines-constant nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
802 "*If true, AUTOSENSE should assume all defines represent constants.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
803 When true, the defines will not be included in sensitivity lists. To
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
804 maintain compatibility with other sites, this should be set at the bottom
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
805 of each Verilog file that requires it, rather than being set globally."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
806 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
807 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
808 (put 'verilog-auto-sense-defines-constant 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
809
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
810 (defcustom verilog-auto-reset-widths t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
811 "*If true, AUTORESET should determine the width of signals.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
812 This is then used to set the width of the zero (32'h0 for example). This
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
813 is required by some lint tools that aren't smart enough to ignore widths of
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
814 the constant zero. This may result in ugly code when parameters determine
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
815 the MSB or LSB of a signal inside an AUTORESET."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
816 :type 'boolean
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
817 :group 'verilog-mode-auto)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
818 (put 'verilog-auto-reset-widths 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
819
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
820 (defcustom verilog-assignment-delay ""
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
821 "*Text used for delays in delayed assignments. Add a trailing space if set."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
822 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
823 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
824 (put 'verilog-assignment-delay 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
825
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
826 (defcustom verilog-auto-inst-vector t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
827 "*If true, when creating default ports with AUTOINST, use bus subscripts.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
828 If nil, skip the subscript when it matches the entire bus as declared in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
829 the module (AUTOWIRE signals always are subscripted, you must manually
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
830 declare the wire to have the subscripts removed.) Setting this to nil may
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
831 speed up some simulators, but is less general and harder to read, so avoid."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
832 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
833 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
834 (put 'verilog-auto-inst-vector 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
835
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
836 (defcustom verilog-auto-inst-template-numbers nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
837 "*If true, when creating templated ports with AUTOINST, add a comment.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
838 The comment will add the line number of the template that was used for that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
839 port declaration. Setting this aids in debugging, but nil is suggested for
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
840 regular use to prevent large numbers of merge conflicts."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
841 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
842 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
843 (put 'verilog-auto-inst-template-numbers 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
844
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
845 (defvar verilog-auto-inst-column 40
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
846 "Column number for first part of auto-inst.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
847
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
848 (defcustom verilog-auto-input-ignore-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
849 "*If set, when creating AUTOINPUT list, ignore signals matching this regexp.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
850 See the \\[verilog-faq] for examples on using this."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
851 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
852 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
853 (put 'verilog-auto-input-ignore-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
854
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
855 (defcustom verilog-auto-inout-ignore-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
856 "*If set, when creating AUTOINOUT list, ignore signals matching this regexp.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
857 See the \\[verilog-faq] for examples on using this."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
858 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
859 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
860 (put 'verilog-auto-inout-ignore-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
861
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
862 (defcustom verilog-auto-output-ignore-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
863 "*If set, when creating AUTOOUTPUT list, ignore signals matching this regexp.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
864 See the \\[verilog-faq] for examples on using this."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
865 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
866 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
867 (put 'verilog-auto-output-ignore-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
868
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
869 (defcustom verilog-auto-unused-ignore-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
870 "*If set, when creating AUTOUNUSED list, ignore signals matching this regexp.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
871 See the \\[verilog-faq] for examples on using this."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
872 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
873 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
874 (put 'verilog-auto-unused-ignore-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
875
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
876 (defcustom verilog-typedef-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
877 "*If non-nil, regular expression that matches Verilog-2001 typedef names.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
878 For example, \"_t$\" matches typedefs named with _t, as in the C language."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
879 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
880 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
881 (put 'verilog-typedef-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
882
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
883 (defcustom verilog-mode-hook 'verilog-set-compile-command
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
884 "*Hook run after Verilog mode is loaded."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
885 :type 'hook
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
886 :group 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
887
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
888 (defcustom verilog-auto-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
889 "*Hook run after `verilog-mode' updates AUTOs."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
890 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
891 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
892
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
893 (defcustom verilog-before-auto-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
894 "*Hook run before `verilog-mode' updates AUTOs."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
895 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
896 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
897
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
898 (defcustom verilog-delete-auto-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
899 "*Hook run after `verilog-mode' deletes AUTOs."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
900 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
901 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
902
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
903 (defcustom verilog-before-delete-auto-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
904 "*Hook run before `verilog-mode' deletes AUTOs."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
905 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
906 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
907
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
908 (defcustom verilog-getopt-flags-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
909 "*Hook run after `verilog-getopt-flags' determines the Verilog option lists."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
910 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
911 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
912
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
913 (defcustom verilog-before-getopt-flags-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
914 "*Hook run before `verilog-getopt-flags' determines the Verilog option lists."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
915 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
916 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
917
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
918 (defvar verilog-imenu-generic-expression
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
919 '((nil "^\\s-*\\(\\(m\\(odule\\|acromodule\\)\\)\\|primitive\\)\\s-+\\([a-zA-Z0-9_.:]+\\)" 4)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
920 ("*Vars*" "^\\s-*\\(reg\\|wire\\)\\s-+\\(\\|\\[[^]]+\\]\\s-+\\)\\([A-Za-z0-9_]+\\)" 3))
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
921 "Imenu expression for Verilog mode. See `imenu-generic-expression'.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
922
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
923 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
924 ;; provide a verilog-header function.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
925 ;; Customization variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
926 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
927 (defvar verilog-date-scientific-format nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
928 "*If non-nil, dates are written in scientific format (e.g. 1997/09/17).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
929 If nil, in European format (e.g. 17.09.1997). The brain-dead American
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
930 format (e.g. 09/17/1997) is not supported.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
931
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
932 (defvar verilog-company nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
933 "*Default name of Company for Verilog header.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
934 If set will become buffer local.")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
935 (make-variable-buffer-local 'verilog-company)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
936
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
937 (defvar verilog-project nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
938 "*Default name of Project for Verilog header.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
939 If set will become buffer local.")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
940 (make-variable-buffer-local 'verilog-project)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
941
79549
d9595ed9b084 * progmodes/verilog-mode.el (verilog-mode-map): Fix typo.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79547
diff changeset
942 (defvar verilog-mode-map
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
943 (let ((map (make-sparse-keymap)))
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
944 (define-key map ";" 'electric-verilog-semi)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
945 (define-key map [(control 59)] 'electric-verilog-semi-with-comment)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
946 (define-key map ":" 'electric-verilog-colon)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
947 ;;(define-key map "=" 'electric-verilog-equal)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
948 (define-key map "\`" 'electric-verilog-tick)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
949 (define-key map "\t" 'electric-verilog-tab)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
950 (define-key map "\r" 'electric-verilog-terminate-line)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
951 ;; backspace/delete key bindings
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
952 (define-key map [backspace] 'backward-delete-char-untabify)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
953 (unless (boundp 'delete-key-deletes-forward) ; XEmacs variable
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
954 (define-key map [delete] 'delete-char)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
955 (define-key map [(meta delete)] 'kill-word))
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
956 (define-key map "\M-\C-b" 'electric-verilog-backward-sexp)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
957 (define-key map "\M-\C-f" 'electric-verilog-forward-sexp)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
958 (define-key map "\M-\r" `electric-verilog-terminate-and-indent)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
959 (define-key map "\M-\t" 'verilog-complete-word)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
960 (define-key map "\M-?" 'verilog-show-completions)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
961 (define-key map "\C-c\`" 'verilog-lint-off)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
962 (define-key map "\C-c\*" 'verilog-delete-auto-star-implicit)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
963 (define-key map "\C-c\C-r" 'verilog-label-be)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
964 (define-key map "\C-c\C-i" 'verilog-pretty-declarations)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
965 (define-key map "\C-c=" 'verilog-pretty-expr)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
966 (define-key map "\C-c\C-b" 'verilog-submit-bug-report)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
967 (define-key map "\M-*" 'verilog-star-comment)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
968 (define-key map "\C-c\C-c" 'verilog-comment-region)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
969 (define-key map "\C-c\C-u" 'verilog-uncomment-region)
79810
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
970 (when (featurep 'xemacs)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
971 (define-key map [(meta control h)] 'verilog-mark-defun)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
972 (define-key map "\M-\C-a" 'verilog-beg-of-defun)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
973 (define-key map "\M-\C-e" 'verilog-end-of-defun))
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
974 (define-key map "\C-c\C-d" 'verilog-goto-defun)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
975 (define-key map "\C-c\C-k" 'verilog-delete-auto)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
976 (define-key map "\C-c\C-a" 'verilog-auto)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
977 (define-key map "\C-c\C-s" 'verilog-auto-save-compile)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
978 (define-key map "\C-c\C-z" 'verilog-inject-auto)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
979 (define-key map "\C-c\C-e" 'verilog-expand-vector)
79550
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
980 (define-key map "\C-c\C-h" 'verilog-header)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
981 map)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
982 "Keymap used in Verilog mode.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
983
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
984 ;; menus
80172
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
985 (easy-menu-define
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
986 verilog-menu verilog-mode-map "Menu for Verilog mode"
80355
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
987 '("Verilog"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
988 ("Choose Compilation Action"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
989 ["None"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
990 (progn
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
991 (setq verilog-tool nil)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
992 (verilog-set-compile-command))
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
993 :style radio
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
994 :selected (equal verilog-tool nil)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
995 :help "When invoking compilation, use compile-command"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
996 ["Lint"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
997 (progn
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
998 (setq verilog-tool 'verilog-linter)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
999 (verilog-set-compile-command))
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1000 :style radio
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1001 :selected (equal verilog-tool `verilog-linter)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1002 :help "When invoking compilation, use lint checker"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1003 ["Coverage"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1004 (progn
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1005 (setq verilog-tool 'verilog-coverage)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1006 (verilog-set-compile-command))
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1007 :style radio
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1008 :selected (equal verilog-tool `verilog-coverage)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1009 :help "When invoking compilation, annotate for coverage"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1010 ["Simulator"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1011 (progn
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1012 (setq verilog-tool 'verilog-simulator)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1013 (verilog-set-compile-command))
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1014 :style radio
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1015 :selected (equal verilog-tool `verilog-simulator)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1016 :help "When invoking compilation, interpret Verilog source"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1017 ["Compiler"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1018 (progn
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1019 (setq verilog-tool 'verilog-compiler)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1020 (verilog-set-compile-command))
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1021 :style radio
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1022 :selected (equal verilog-tool `verilog-compiler)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1023 :help "When invoking compilation, compile Verilog source"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1024 )
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1025 ("Move"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1026 ["Beginning of function" verilog-beg-of-defun
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1027 :keys "C-M-a"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1028 :help "Move backward to the beginning of the current function or procedure"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1029 ["End of function" verilog-end-of-defun
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1030 :keys "C-M-e"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1031 :help "Move forward to the end of the current function or procedure"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1032 ["Mark function" verilog-mark-defun
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1033 :keys "C-M-h"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1034 :help "Mark the current Verilog function or procedure"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1035 ["Goto function/module" verilog-goto-defun
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1036 :help "Move to specified Verilog module/task/function"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1037 ["Move to beginning of block" electric-verilog-backward-sexp
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1038 :help "Move backward over one balanced expression"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1039 ["Move to end of block" electric-verilog-forward-sexp
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1040 :help "Move forward over one balanced expression"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1041 )
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1042 ("Comments"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1043 ["Comment Region" verilog-comment-region
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1044 :help "Put marked area into a comment"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1045 ["UnComment Region" verilog-uncomment-region
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1046 :help "Uncomment an area commented with Comment Region"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1047 ["Multi-line comment insert" verilog-star-comment
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1048 :help "Insert Verilog /* */ comment at point"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1049 ["Lint error to comment" verilog-lint-off
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1050 :help "Convert a Verilog linter warning line into a disable statement"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1051 )
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1052 "----"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1053 ["Compile" compile
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1054 :help "Perform compilation-action (above) on the current buffer"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1055 ["AUTO, Save, Compile" verilog-auto-save-compile
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1056 :help "Recompute AUTOs, save buffer, and compile"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1057 ["Next Compile Error" next-error
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1058 :help "Visit next compilation error message and corresponding source code"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1059 ["Ignore Lint Warning at point" verilog-lint-off
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1060 :help "Convert a Verilog linter warning line into a disable statement"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1061 "----"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1062 ["Line up declarations around point" verilog-pretty-declarations
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1063 :help "Line up declarations around point"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1064 ["Line up equations around point" verilog-pretty-expr
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1065 :help "Line up expressions around point"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1066 ["Redo/insert comments on every end" verilog-label-be
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1067 :help "Label matching begin ... end statements"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1068 ["Expand [x:y] vector line" verilog-expand-vector
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1069 :help "Take a signal vector on the current line and expand it to multiple lines"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1070 ["Insert begin-end block" verilog-insert-block
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1071 :help "Insert begin ... end"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1072 ["Complete word" verilog-complete-word
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1073 :help "Complete word at point"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1074 "----"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1075 ["Recompute AUTOs" verilog-auto
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1076 :help "Expand AUTO meta-comment statements"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1077 ["Kill AUTOs" verilog-delete-auto
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1078 :help "Remove AUTO expansions"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1079 ["Inject AUTOs" verilog-inject-auto
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1080 :help "Inject AUTOs into legacy non-AUTO buffer"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1081 ("AUTO Help..."
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1082 ["AUTO General" (describe-function 'verilog-auto)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1083 :help "Help introduction on AUTOs"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1084 ["AUTO Library Flags" (describe-variable 'verilog-library-flags)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1085 :help "Help on verilog-library-flags"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1086 ["AUTO Library Path" (describe-variable 'verilog-library-directories)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1087 :help "Help on verilog-library-directories"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1088 ["AUTO Library Files" (describe-variable 'verilog-library-files)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1089 :help "Help on verilog-library-files"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1090 ["AUTO Library Extensions" (describe-variable 'verilog-library-extensions)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1091 :help "Help on verilog-library-extensions"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1092 ["AUTO `define Reading" (describe-function 'verilog-read-defines)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1093 :help "Help on reading `defines"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1094 ["AUTO `include Reading" (describe-function 'verilog-read-includes)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1095 :help "Help on parsing `includes"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1096 ["AUTOARG" (describe-function 'verilog-auto-arg)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1097 :help "Help on AUTOARG - declaring module port list"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1098 ["AUTOASCIIENUM" (describe-function 'verilog-auto-ascii-enum)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1099 :help "Help on AUTOASCIIENUM - creating ASCII for enumerations"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1100 ["AUTOINOUTMODULE" (describe-function 'verilog-auto-inout-module)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1101 :help "Help on AUTOINOUTMODULE - copying i/o from another file"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1102 ["AUTOINOUT" (describe-function 'verilog-auto-inout)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1103 :help "Help on AUTOINOUT - adding inouts from cells"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1104 ["AUTOINPUT" (describe-function 'verilog-auto-input)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1105 :help "Help on AUTOINPUT - adding inputs from cells"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1106 ["AUTOINST" (describe-function 'verilog-auto-inst)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1107 :help "Help on AUTOINST - adding pins for cells"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1108 ["AUTOINST (.*)" (describe-function 'verilog-auto-star)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1109 :help "Help on expanding Verilog-2001 .* pins"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1110 ["AUTOINSTPARAM" (describe-function 'verilog-auto-inst-param)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1111 :help "Help on AUTOINSTPARAM - adding parameter pins to cells"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1112 ["AUTOOUTPUT" (describe-function 'verilog-auto-output)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1113 :help "Help on AUTOOUTPUT - adding outputs from cells"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1114 ["AUTOOUTPUTEVERY" (describe-function 'verilog-auto-output-every)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1115 :help "Help on AUTOOUTPUTEVERY - adding outputs of all signals"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1116 ["AUTOREG" (describe-function 'verilog-auto-reg)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1117 :help "Help on AUTOREG - declaring registers for non-wires"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1118 ["AUTOREGINPUT" (describe-function 'verilog-auto-reg-input)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1119 :help "Help on AUTOREGINPUT - declaring inputs for non-wires"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1120 ["AUTORESET" (describe-function 'verilog-auto-reset)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1121 :help "Help on AUTORESET - resetting always blocks"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1122 ["AUTOSENSE" (describe-function 'verilog-auto-sense)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1123 :help "Help on AUTOSENSE - sensitivity lists for always blocks"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1124 ["AUTOTIEOFF" (describe-function 'verilog-auto-tieoff)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1125 :help "Help on AUTOTIEOFF - tieing off unused outputs"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1126 ["AUTOUNUSED" (describe-function 'verilog-auto-unused)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1127 :help "Help on AUTOUNUSED - terminating unused inputs"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1128 ["AUTOWIRE" (describe-function 'verilog-auto-wire)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1129 :help "Help on AUTOWIRE - declaring wires for cells"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1130 )
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1131 "----"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1132 ["Submit bug report" verilog-submit-bug-report
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1133 :help "Submit via mail a bug report on verilog-mode.el"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1134 ["Version and FAQ" verilog-faq
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1135 :help "Show the current version, and where to get the FAQ etc"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1136 ["Customize Verilog Mode..." verilog-customize
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1137 :help "Customize variables and other settings used by Verilog-Mode"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1138 ["Customize Verilog Fonts & Colors" verilog-font-customize
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1139 :help "Customize fonts used by Verilog-Mode."]))
80172
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
1140
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
1141 (easy-menu-define
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
1142 verilog-stmt-menu verilog-mode-map "Menu for statement templates in Verilog."
80355
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1143 '("Statements"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1144 ["Header" verilog-sk-header
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1145 :help "Insert a header block at the top of file"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1146 ["Comment" verilog-sk-comment
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1147 :help "Insert a comment block"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1148 "----"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1149 ["Module" verilog-sk-module
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1150 :help "Insert a module .. (/*AUTOARG*/);.. endmodule block"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1151 ["Primitive" verilog-sk-primitive
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1152 :help "Insert a primitive .. (.. );.. endprimitive block"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1153 "----"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1154 ["Input" verilog-sk-input
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1155 :help "Insert an input declaration"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1156 ["Output" verilog-sk-output
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1157 :help "Insert an output declaration"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1158 ["Inout" verilog-sk-inout
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1159 :help "Insert an inout declaration"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1160 ["Wire" verilog-sk-wire
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1161 :help "Insert a wire declaration"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1162 ["Reg" verilog-sk-reg
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1163 :help "Insert a register declaration"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1164 ["Define thing under point as a register" verilog-sk-define-signal
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1165 :help "Define signal under point as a register at the top of the module"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1166 "----"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1167 ["Initial" verilog-sk-initial
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1168 :help "Insert an initial begin .. end block"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1169 ["Always" verilog-sk-always
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1170 :help "Insert an always @(AS) begin .. end block"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1171 ["Function" verilog-sk-function
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1172 :help "Insert a function .. begin .. end endfunction block"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1173 ["Task" verilog-sk-task
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1174 :help "Insert a task .. begin .. end endtask block"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1175 ["Specify" verilog-sk-specify
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1176 :help "Insert a specify .. endspecify block"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1177 ["Generate" verilog-sk-generate
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1178 :help "Insert a generate .. endgenerate block"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1179 "----"
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1180 ["Begin" verilog-sk-begin
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1181 :help "Insert a begin .. end block"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1182 ["If" verilog-sk-if
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1183 :help "Insert an if (..) begin .. end block"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1184 ["(if) else" verilog-sk-else-if
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1185 :help "Insert an else if (..) begin .. end block"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1186 ["For" verilog-sk-for
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1187 :help "Insert a for (...) begin .. end block"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1188 ["While" verilog-sk-while
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1189 :help "Insert a while (...) begin .. end block"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1190 ["Fork" verilog-sk-fork
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1191 :help "Insert a fork begin .. end .. join block"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1192 ["Repeat" verilog-sk-repeat
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1193 :help "Insert a repeat (..) begin .. end block"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1194 ["Case" verilog-sk-case
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1195 :help "Insert a case block, prompting for details"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1196 ["Casex" verilog-sk-casex
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1197 :help "Insert a casex (...) item: begin.. end endcase block"]
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1198 ["Casez" verilog-sk-casez
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1199 :help "Insert a casez (...) item: begin.. end endcase block"]))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1200
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1201 (defvar verilog-mode-abbrev-table nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1202 "Abbrev table in use in Verilog-mode buffers.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1203
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1204 (define-abbrev-table 'verilog-mode-abbrev-table ())
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1205
79547
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1206 ;;
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1207 ;; Macros
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1208 ;;
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1209
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1210 (defsubst verilog-string-replace-matches (from-string to-string fixedcase literal string)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1211 "Replace occurrences of FROM-STRING with TO-STRING.
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1212 FIXEDCASE and LITERAL as in `replace-match`. STRING is what to replace.
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1213 The case (verilog-string-replace-matches \"o\" \"oo\" nil nil \"foobar\")
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1214 will break, as the o's continuously replace. xa -> x works ok though."
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1215 ;; Hopefully soon to a emacs built-in
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1216 (let ((start 0))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1217 (while (string-match from-string string start)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1218 (setq string (replace-match to-string fixedcase literal string)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1219 start (min (length string) (match-end 0))))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1220 string))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1221
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1222 (defsubst verilog-string-remove-spaces (string)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1223 "Remove spaces surrounding STRING."
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1224 (save-match-data
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1225 (setq string (verilog-string-replace-matches "^\\s-+" "" nil nil string))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1226 (setq string (verilog-string-replace-matches "\\s-+$" "" nil nil string))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1227 string))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1228
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1229 (defsubst verilog-re-search-forward (REGEXP BOUND NOERROR)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1230 ; checkdoc-params: (REGEXP BOUND NOERROR)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1231 "Like `re-search-forward', but skips over match in comments or strings."
80355
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1232 (store-match-data '(nil nil)) ;; So match-end will return nil if no matches found
79547
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1233 (while (and
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1234 (re-search-forward REGEXP BOUND NOERROR)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1235 (and (verilog-skip-forward-comment-or-string)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1236 (progn
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1237 (store-match-data '(nil nil))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1238 (if BOUND
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1239 (< (point) BOUND)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1240 t)))))
79547
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1241 (match-end 0))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1242
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1243 (defsubst verilog-re-search-backward (REGEXP BOUND NOERROR)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1244 ; checkdoc-params: (REGEXP BOUND NOERROR)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1245 "Like `re-search-backward', but skips over match in comments or strings."
80355
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
1246 (store-match-data '(nil nil)) ;; So match-end will return nil if no matches found
79547
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1247 (while (and
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1248 (re-search-backward REGEXP BOUND NOERROR)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1249 (and (verilog-skip-backward-comment-or-string)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1250 (progn
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1251 (store-match-data '(nil nil))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1252 (if BOUND
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1253 (> (point) BOUND)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1254 t)))))
79547
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1255 (match-end 0))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1256
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1257 (defsubst verilog-re-search-forward-quick (regexp bound noerror)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1258 "Like `verilog-re-search-forward', including use of REGEXP BOUND and NOERROR,
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1259 but trashes match data and is faster for REGEXP that doesn't match often.
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1260 This may at some point use text properties to ignore comments,
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1261 so there may be a large up front penalty for the first search."
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1262 (let (pt)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1263 (while (and (not pt)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1264 (re-search-forward regexp bound noerror))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1265 (if (not (verilog-inside-comment-p))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1266 (setq pt (match-end 0))))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1267 pt))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1268
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1269 (defsubst verilog-re-search-backward-quick (regexp bound noerror)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1270 ; checkdoc-params: (REGEXP BOUND NOERROR)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1271 "Like `verilog-re-search-backward', including use of REGEXP BOUND and NOERROR,
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1272 but trashes match data and is faster for REGEXP that doesn't match often.
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1273 This may at some point use text properties to ignore comments,
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1274 so there may be a large up front penalty for the first search."
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1275 (let (pt)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1276 (while (and (not pt)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1277 (re-search-backward regexp bound noerror))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1278 (if (not (verilog-inside-comment-p))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1279 (setq pt (match-end 0))))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1280 pt))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1281
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1282 (defsubst verilog-get-beg-of-line (&optional arg)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1283 (save-excursion
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1284 (beginning-of-line arg)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1285 (point)))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1286
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1287 (defsubst verilog-get-end-of-line (&optional arg)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1288 (save-excursion
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1289 (end-of-line arg)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1290 (point)))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1291
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1292 (defsubst verilog-within-string ()
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1293 (save-excursion
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1294 (nth 3 (parse-partial-sexp (verilog-get-beg-of-line) (point)))))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1295
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1296 (defvar compile-command)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1297
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1298 ;; compilation program
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1299 (defun verilog-set-compile-command ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
1300 "Function to compute shell command to compile Verilog.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1301
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1302 This reads `verilog-tool' and sets `compile-command'. This specifies the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1303 program that executes when you type \\[compile] or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1304 \\[verilog-auto-save-compile].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1305
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1306 By default `verilog-tool' uses a Makefile if one exists in the current
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1307 directory. If not, it is set to the `verilog-linter', `verilog-coverage',
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1308 `verilog-simulator', or `verilog-compiler' variables, as selected with the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1309 Verilog -> \"Choose Compilation Action\" menu.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1310
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1311 You should set `verilog-tool' or the other variables to the path and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1312 arguments for your Verilog simulator. For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1313 \"vcs -p123 -O\"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1314 or a string like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1315 \"(cd /tmp; surecov %s)\".
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1316
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1317 In the former case, the path to the current buffer is concat'ed to the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1318 value of `verilog-tool'; in the later, the path to the current buffer is
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1319 substituted for the %s.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1320
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
1321 Where __FILE__ appears in the string, the `buffer-file-name' of the
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
1322 current buffer, without the directory portion, will be substituted."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1323 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1324 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1325 ((or (file-exists-p "makefile") ;If there is a makefile, use it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1326 (file-exists-p "Makefile"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1327 (make-local-variable 'compile-command)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1328 (setq compile-command "make "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1329 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1330 (make-local-variable 'compile-command)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1331 (setq compile-command
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1332 (if verilog-tool
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1333 (if (string-match "%s" (eval verilog-tool))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1334 (format (eval verilog-tool) (or buffer-file-name ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1335 (concat (eval verilog-tool) " " (or buffer-file-name "")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1336 ""))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1337 (verilog-modify-compile-command))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1338
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1339 (defun verilog-modify-compile-command ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1340 "Replace meta-information in `compile-command'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1341 Where __FILE__ appears in the string, the current buffer's file-name,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1342 without the directory portion, will be substituted."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1343 (when (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1344 (stringp compile-command)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1345 (string-match "\\b__FILE__\\b" compile-command))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1346 (make-local-variable 'compile-command)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1347 (setq compile-command
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1348 (verilog-string-replace-matches
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1349 "\\b__FILE__\\b" (file-name-nondirectory (buffer-file-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1350 t t compile-command))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1351
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1352 ;; Following code only gets called from compilation-mode-hook.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1353 (defvar compilation-error-regexp-alist)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1354
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1355 (defun verilog-error-regexp-add ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1356 "Add the messages to the `compilation-error-regexp-alist'.
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1357 Called by `compilation-mode-hook'. This allows \\[next-error] to
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1358 find the errors."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1359 (if (not verilog-error-regexp-add-didit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1360 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1361 (setq verilog-error-regexp-add-didit t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1362 (setq-default compilation-error-regexp-alist
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1363 (append verilog-error-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1364 (default-value 'compilation-error-regexp-alist)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1365 ;; Could be buffer local at this point; maybe also in let; change all three
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1366 (setq compilation-error-regexp-alist
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1367 (default-value 'compilation-error-regexp-alist))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1368 (set (make-local-variable 'compilation-error-regexp-alist)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1369 (default-value 'compilation-error-regexp-alist)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1370
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1371 (add-hook 'compilation-mode-hook 'verilog-error-regexp-add)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1372
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1373 (defconst verilog-directive-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1374 ;; "`case" "`default" "`define" "`define" "`else" "`endfor" "`endif"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1375 ;; "`endprotect" "`endswitch" "`endwhile" "`for" "`format" "`if" "`ifdef"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1376 ;; "`ifndef" "`include" "`let" "`protect" "`switch" "`timescale"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1377 ;; "`time_scale" "`undef" "`while"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1378 "\\<`\\(case\\|def\\(ault\\|ine\\(\\)?\\)\\|e\\(lse\\|nd\\(for\\|if\\|protect\\|switch\\|while\\)\\)\\|for\\(mat\\)?\\|i\\(f\\(def\\|ndef\\)?\\|nclude\\)\\|let\\|protect\\|switch\\|time\\(_scale\\|scale\\)\\|undef\\|while\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1379
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1380 (defconst verilog-directive-begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1381 "\\<`\\(for\\|i\\(f\\|fdef\\|fndef\\)\\|switch\\|while\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1382
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1383 (defconst verilog-directive-middle
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1384 "\\<`\\(else\\|default\\|case\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1385
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1386 (defconst verilog-directive-end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1387 "`\\(endfor\\|endif\\|endswitch\\|endwhile\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1388
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1389 (defconst verilog-directive-re-1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1390 (concat "[ \t]*" verilog-directive-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1391
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1392 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1393 ;; Regular expressions used to calculate indent, etc.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1394 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1395 (defconst verilog-symbol-re "\\<[a-zA-Z_][a-zA-Z_0-9.]*\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1396 (defconst verilog-case-re "\\(\\<case[xz]?\\>\\|\\<randcase\\>\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1397 ;; Want to match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1398 ;; aa :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1399 ;; aa,bb :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1400 ;; a[34:32] :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1401 ;; a,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1402 ;; b :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1403
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1404 (defconst verilog-no-indent-begin-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1405 "\\<\\(if\\|else\\|while\\|for\\|repeat\\|always\\|always_comb\\|always_ff\\|always_latch\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1406
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1407 (defconst verilog-ends-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1408 ;; Parenthesis indicate type of keyword found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1409 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1410 "\\(\\<else\\>\\)\\|" ; 1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1411 "\\(\\<if\\>\\)\\|" ; 2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1412 "\\(\\<end\\>\\)\\|" ; 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1413 "\\(\\<endcase\\>\\)\\|" ; 4
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1414 "\\(\\<endfunction\\>\\)\\|" ; 5
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1415 "\\(\\<endtask\\>\\)\\|" ; 6
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1416 "\\(\\<endspecify\\>\\)\\|" ; 7
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1417 "\\(\\<endtable\\>\\)\\|" ; 8
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1418 "\\(\\<endgenerate\\>\\)\\|" ; 9
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1419 "\\(\\<join\\(_any\\|_none\\)?\\>\\)\\|" ; 10
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1420 "\\(\\<endclass\\>\\)\\|" ; 11
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1421 "\\(\\<endgroup\\>\\)" ; 12
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1422 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1423
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1424 (defconst verilog-auto-end-comment-lines-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1425 ;; Matches to names in this list cause auto-end-commentation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1426 (concat "\\("
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1427 verilog-directive-re "\\)\\|\\("
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1428 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1429 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1430 `( "begin"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1431 "else"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1432 "end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1433 "endcase"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1434 "endclass"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1435 "endclocking"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1436 "endgroup"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1437 "endfunction"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1438 "endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1439 "endprogram"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1440 "endprimitive"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1441 "endinterface"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1442 "endpackage"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1443 "endsequence"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1444 "endspecify"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1445 "endtable"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1446 "endtask"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1447 "join"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1448 "join_any"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1449 "join_none"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1450 "module"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1451 "macromodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1452 "primitive"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1453 "interface"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1454 "package")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1455 "\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1456
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1457 ;;; NOTE: verilog-leap-to-head expects that verilog-end-block-re and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1458 ;;; verilog-end-block-ordered-re matches exactly the same strings.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1459 (defconst verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1460 ;; Parenthesis indicate type of keyword found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1461 (concat "\\(\\<endcase\\>\\)\\|" ; 1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1462 "\\(\\<end\\>\\)\\|" ; 2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1463 "\\(\\<end" ; 3, but not used
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1464 "\\(" ; 4, but not used
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1465 "\\(function\\)\\|" ; 5
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1466 "\\(task\\)\\|" ; 6
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1467 "\\(module\\)\\|" ; 7
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1468 "\\(primitive\\)\\|" ; 8
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1469 "\\(interface\\)\\|" ; 9
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1470 "\\(package\\)\\|" ; 10
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1471 "\\(class\\)\\|" ; 11
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1472 "\\(group\\)\\|" ; 12
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1473 "\\(program\\)\\|" ; 13
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1474 "\\(sequence\\)\\|" ; 14
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1475 "\\(clocking\\)\\|" ; 15
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1476 "\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1477 (defconst verilog-end-block-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1478 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1479 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1480
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1481 `("end" ;; closes begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1482 "endcase" ;; closes any of case, casex casez or randcase
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1483 "join" "join_any" "join_none" ;; closes fork
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1484 "endclass"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1485 "endtable"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1486 "endspecify"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1487 "endfunction"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1488 "endgenerate"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1489 "endtask"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1490 "endgroup"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1491 "endproperty"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1492 "endinterface"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1493 "endpackage"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1494 "endprogram"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1495 "endsequence"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1496 "endclocking"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1497 ))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1498
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1499
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1500 (defconst verilog-endcomment-reason-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1501 ;; Parenthesis indicate type of keyword found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1502 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1503 "\\(\\<fork\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1504 "\\(\\<begin\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1505 "\\(\\<if\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1506 "\\(\\<clocking\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1507 "\\(\\<else\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1508 "\\(\\<end\\>.*\\<else\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1509 "\\(\\<task\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1510 "\\(\\<function\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1511 "\\(\\<initial\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1512 "\\(\\<interface\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1513 "\\(\\<package\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1514 "\\(\\<final\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1515 "\\(\\<always\\>\\(\[ \t\]*@\\)?\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1516 "\\(\\<always_comb\\>\\(\[ \t\]*@\\)?\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1517 "\\(\\<always_ff\\>\\(\[ \t\]*@\\)?\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1518 "\\(\\<always_latch\\>\\(\[ \t\]*@\\)?\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1519 "\\(@\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1520 "\\(\\<while\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1521 "\\(\\<for\\(ever\\|each\\)?\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1522 "\\(\\<repeat\\>\\)\\|\\(\\<wait\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1523 "#"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1524
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1525 (defconst verilog-named-block-re "begin[ \t]*:")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1526
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1527 ;; These words begin a block which can occur inside a module which should be indented,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1528 ;; and closed with the respective word from the end-block list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1529
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1530 (defconst verilog-beg-block-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1531 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1532 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1533 `("begin"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1534 "case" "casex" "casez" "randcase"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1535 "clocking"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1536 "generate"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1537 "fork"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1538 "function"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1539 "property"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1540 "specify"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1541 "table"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1542 "task"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1543 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1544 ;; These are the same words, in a specific order in the regular
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1545 ;; expression so that matching will work nicely for
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1546 ;; verilog-forward-sexp and verilog-calc-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1547
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1548 (defconst verilog-beg-block-re-ordered
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1549 ( concat "\\<"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1550 "\\(begin\\)" ;1
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1551 "\\|\\(randcase\\|\\(unique\\s-+\\|priority\\s-+\\)?case[xz]?\\)" ; 2,3
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1552 "\\|\\(\\(disable\\s-+\\)?fork\\)" ;4
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1553 "\\|\\(class\\)" ;5
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1554 "\\|\\(table\\)" ;6
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1555 "\\|\\(specify\\)" ;7
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1556 "\\|\\(function\\)" ;8
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1557 "\\|\\(task\\)" ;9
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1558 "\\|\\(generate\\)" ;10
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1559 "\\|\\(covergroup\\)" ;11
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1560 "\\|\\(property\\)" ;12
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1561 "\\|\\(\\(rand\\)?sequence\\)" ;13
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1562 "\\|\\(clocking\\)" ;14
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1563 "\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1564
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1565 (defconst verilog-end-block-ordered-rry
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1566 [ "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)\\|\\(\\<endcase\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1567 "\\(\\<randcase\\>\\|\\<case[xz]?\\>\\)\\|\\(\\<endcase\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1568 "\\(\\<fork\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1569 "\\(\\<class\\>\\)\\|\\(\\<endclass\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1570 "\\(\\<table\\>\\)\\|\\(\\<endtable\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1571 "\\(\\<specify\\>\\)\\|\\(\\<endspecify\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1572 "\\(\\<function\\>\\)\\|\\(\\<endfunction\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1573 "\\(\\<generate\\>\\)\\|\\(\\<endgenerate\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1574 "\\(\\<task\\>\\)\\|\\(\\<endtask\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1575 "\\(\\<covergroup\\>\\)\\|\\(\\<endgroup\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1576 "\\(\\<property\\>\\)\\|\\(\\<endproperty\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1577 "\\(\\<\\(rand\\)?sequence\\>\\)\\|\\(\\<endsequence\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1578 "\\(\\<clocking\\>\\)\\|\\(\\<endclocking\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1579 ] )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1580
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1581 (defconst verilog-nameable-item-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1582 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1583 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1584 `("begin"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1585 "fork"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1586 "join" "join_any" "join_none"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1587 "end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1588 "endcase"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1589 "endconfig"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1590 "endclass"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1591 "endclocking"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1592 "endfunction"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1593 "endgenerate"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1594 "endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1595 "endprimative"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1596 "endinterface"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1597 "endpackage"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1598 "endspecify"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1599 "endtable"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1600 "endtask" )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1601 )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1602
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1603 (defconst verilog-declaration-opener
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1604 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1605 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1606 `("module" "begin" "task" "function"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1607
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1608 (defconst verilog-declaration-prefix-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1609 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1610 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1611 `(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1612 ;; port direction
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1613 "inout" "input" "output" "ref"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1614 ;; changeableness
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1615 "const" "static" "protected" "local"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1616 ;; parameters
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1617 "localparam" "parameter" "var"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1618 ;; type creation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1619 "typedef"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1620 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1621 (defconst verilog-declaration-core-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1622 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1623 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1624 `(
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
1625 ;; port direction (by themselves)
80141
00b853b0f933 (customize): Fix typo in error message.
Juanma Barranquero <lekktu@gmail.com>
parents: 80024
diff changeset
1626 "inout" "input" "output"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1627 ;; integer_atom_type
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1628 "byte" "shortint" "int" "longint" "integer" "time"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1629 ;; integer_vector_type
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1630 "bit" "logic" "reg"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1631 ;; non_integer_type
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1632 "shortreal" "real" "realtime"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1633 ;; net_type
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1634 "supply0" "supply1" "tri" "triand" "trior" "trireg" "tri0" "tri1" "uwire" "wire" "wand" "wor"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1635 ;; misc
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1636 "string" "event" "chandle" "virtual" "enum" "genvar"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1637 "struct" "union"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1638 ;; builtin classes
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1639 "mailbox" "semaphore"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1640 ))))
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1641 (defconst verilog-declaration-re
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1642 (concat "\\(" verilog-declaration-prefix-re "\\s-*\\)?" verilog-declaration-core-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1643 (defconst verilog-range-re "\\(\\[[^]]*\\]\\s-*\\)+")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1644 (defconst verilog-optional-signed-re "\\s-*\\(signed\\)?")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1645 (defconst verilog-optional-signed-range-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1646 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1647 "\\s-*\\(\\<\\(reg\\|wire\\)\\>\\s-*\\)?\\(\\<signed\\>\\s-*\\)?\\(" verilog-range-re "\\)?"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1648 (defconst verilog-macroexp-re "`\\sw+")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1649
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1650 (defconst verilog-delay-re "#\\s-*\\(\\([0-9_]+\\('s?[hdxbo][0-9a-fA-F_xz]+\\)?\\)\\|\\(([^()]*)\\)\\|\\(\\sw+\\)\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1651 (defconst verilog-declaration-re-2-no-macro
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1652 (concat "\\s-*" verilog-declaration-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1653 "\\s-*\\(\\(" verilog-optional-signed-range-re "\\)\\|\\(" verilog-delay-re "\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1654 "\\)?"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1655 (defconst verilog-declaration-re-2-macro
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1656 (concat "\\s-*" verilog-declaration-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1657 "\\s-*\\(\\(" verilog-optional-signed-range-re "\\)\\|\\(" verilog-delay-re "\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1658 "\\|\\(" verilog-macroexp-re "\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1659 "\\)?"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1660 (defconst verilog-declaration-re-1-macro
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1661 (concat "^" verilog-declaration-re-2-macro))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1662
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1663 (defconst verilog-declaration-re-1-no-macro (concat "^" verilog-declaration-re-2-no-macro))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1664
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1665 (defconst verilog-defun-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1666 (eval-when-compile (verilog-regexp-words `("macromodule" "module" "class" "program" "interface" "package" "primitive" "config"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1667 (defconst verilog-end-defun-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1668 (eval-when-compile (verilog-regexp-words `("endmodule" "endclass" "endprogram" "endinterface" "endpackage" "endprimitive" "endconfig"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1669 (defconst verilog-zero-indent-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1670 (concat verilog-defun-re "\\|" verilog-end-defun-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1671
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1672 (defconst verilog-behavioral-block-beg-re
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1673 (eval-when-compile (verilog-regexp-words `("initial" "final" "always" "always_comb" "always_latch" "always_ff"
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1674 "function" "task"))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1675
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1676 (defconst verilog-indent-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1677 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1678 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1679 `(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1680 "{"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1681 "always" "always_latch" "always_ff" "always_comb"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1682 "begin" "end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1683 ; "unique" "priority"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1684 "case" "casex" "casez" "randcase" "endcase"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1685 "class" "endclass"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1686 "clocking" "endclocking"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1687 "config" "endconfig"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1688 "covergroup" "endgroup"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1689 "fork" "join" "join_any" "join_none"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1690 "function" "endfunction"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1691 "final"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1692 "generate" "endgenerate"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1693 "initial"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1694 "interface" "endinterface"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1695 "module" "macromodule" "endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1696 "package" "endpackage"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1697 "primitive" "endprimative"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1698 "program" "endprogram"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1699 "property" "endproperty"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1700 "sequence" "randsequence" "endsequence"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1701 "specify" "endspecify"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1702 "table" "endtable"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1703 "task" "endtask"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1704 "`case"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1705 "`default"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1706 "`define" "`undef"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1707 "`if" "`ifdef" "`ifndef" "`else" "`endif"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1708 "`while" "`endwhile"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1709 "`for" "`endfor"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1710 "`format"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1711 "`include"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1712 "`let"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1713 "`protect" "`endprotect"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1714 "`switch" "`endswitch"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1715 "`timescale"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1716 "`time_scale"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1717 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1718
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1719 (defconst verilog-defun-level-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1720 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1721 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1722 `(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1723 "module" "macromodule" "primitive" "class" "program" "initial" "final" "always" "always_comb"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1724 "always_ff" "always_latch" "endtask" "endfunction" "interface" "package"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1725 "config"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1726
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1727 (defconst verilog-defun-level-not-generate-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1728 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1729 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1730 `(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1731 "module" "macromodule" "primitive" "class" "program" "interface" "package" "config"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1732
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1733 (defconst verilog-cpp-level-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1734 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1735 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1736 `(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1737 "endmodule" "endprimitive" "endinterface" "endpackage" "endprogram" "endclass"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1738 ))))
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1739 (defconst verilog-disable-fork-re "disable\\s-+fork")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1740 (defconst verilog-extended-case-re "\\(unique\\s-+\\|priority\\s-+\\)?case[xz]?")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1741 (defconst verilog-extended-complete-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1742 (concat "\\(\\<extern\\s-+\\|\\<virtual\\s-+\\|\\<protected\\s-+\\)*\\(\\<function\\>\\|\\<task\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1743 "\\|\\(\\<typedef\\>\\s-+\\)*\\(\\<struct\\>\\|\\<union\\>\\|\\<class\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1744 "\\|" verilog-extended-case-re ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1745 (defconst verilog-basic-complete-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1746 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1747 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1748 `(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1749 "always" "assign" "always_latch" "always_ff" "always_comb" "constraint"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1750 "import" "initial" "final" "module" "macromodule" "repeat" "randcase" "while"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1751 "if" "for" "forever" "foreach" "else" "parameter" "do"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1752 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1753 (defconst verilog-complete-reg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1754 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1755 verilog-extended-complete-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1756 "\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1757 verilog-basic-complete-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1758
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1759 (defconst verilog-end-statement-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1760 (concat "\\(" verilog-beg-block-re "\\)\\|\\("
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1761 verilog-end-block-re "\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1762
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1763 (defconst verilog-endcase-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1764 (concat verilog-case-re "\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1765 "\\(endcase\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1766 verilog-defun-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1767 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1768
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1769 (defconst verilog-exclude-str-start "/* -----\\/----- EXCLUDED -----\\/-----"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1770 "String used to mark beginning of excluded text.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1771 (defconst verilog-exclude-str-end " -----/\\----- EXCLUDED -----/\\----- */"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1772 "String used to mark end of excluded text.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1773 (defconst verilog-preprocessor-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1774 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1775 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1776 `(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1777 "`define" "`include" "`ifdef" "`ifndef" "`if" "`endif" "`else"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1778 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1779
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1780 (defconst verilog-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1781 '( "`case" "`default" "`define" "`else" "`endfor" "`endif"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1782 "`endprotect" "`endswitch" "`endwhile" "`for" "`format" "`if" "`ifdef"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1783 "`ifndef" "`include" "`let" "`protect" "`switch" "`timescale"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1784 "`time_scale" "`undef" "`while"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1785
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1786 "after" "alias" "always" "always_comb" "always_ff" "always_latch" "and"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1787 "assert" "assign" "assume" "automatic" "before" "begin" "bind"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1788 "bins" "binsof" "bit" "break" "buf" "bufif0" "bufif1" "byte"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1789 "case" "casex" "casez" "cell" "chandle" "class" "clocking" "cmos"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1790 "config" "const" "constraint" "context" "continue" "cover"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1791 "covergroup" "coverpoint" "cross" "deassign" "default" "defparam"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1792 "design" "disable" "dist" "do" "edge" "else" "end" "endcase"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1793 "endclass" "endclocking" "endconfig" "endfunction" "endgenerate"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1794 "endgroup" "endinterface" "endmodule" "endpackage" "endprimitive"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1795 "endprogram" "endproperty" "endspecify" "endsequence" "endtable"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1796 "endtask" "enum" "event" "expect" "export" "extends" "extern"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1797 "final" "first_match" "for" "force" "foreach" "forever" "fork"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1798 "forkjoin" "function" "generate" "genvar" "highz0" "highz1" "if"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1799 "iff" "ifnone" "ignore_bins" "illegal_bins" "import" "incdir"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1800 "include" "initial" "inout" "input" "inside" "instance" "int"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1801 "integer" "interface" "intersect" "join" "join_any" "join_none"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1802 "large" "liblist" "library" "local" "localparam" "logic"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1803 "longint" "macromodule" "mailbox" "matches" "medium" "modport" "module"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1804 "nand" "negedge" "new" "nmos" "nor" "noshowcancelled" "not"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1805 "notif0" "notif1" "null" "or" "output" "package" "packed"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1806 "parameter" "pmos" "posedge" "primitive" "priority" "program"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1807 "property" "protected" "pull0" "pull1" "pulldown" "pullup"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1808 "pulsestyle_onevent" "pulsestyle_ondetect" "pure" "rand" "randc"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1809 "randcase" "randsequence" "rcmos" "real" "realtime" "ref" "reg"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1810 "release" "repeat" "return" "rnmos" "rpmos" "rtran" "rtranif0"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1811 "rtranif1" "scalared" "semaphore" "sequence" "shortint" "shortreal"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1812 "showcancelled" "signed" "small" "solve" "specify" "specparam"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1813 "static" "string" "strong0" "strong1" "struct" "super" "supply0"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1814 "supply1" "table" "tagged" "task" "this" "throughout" "time"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1815 "timeprecision" "timeunit" "tran" "tranif0" "tranif1" "tri"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1816 "tri0" "tri1" "triand" "trior" "trireg" "type" "typedef" "union"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1817 "unique" "unsigned" "use" "uwire" "var" "vectored" "virtual" "void"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1818 "wait" "wait_order" "wand" "weak0" "weak1" "while" "wildcard"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1819 "wire" "with" "within" "wor" "xnor" "xor"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1820 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1821 "List of Verilog keywords.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1822
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1823 (defconst verilog-comment-start-regexp "//\\|/\\*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1824 "Dual comment value for `comment-start-regexp'.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1825
79810
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1826 (defvar verilog-mode-syntax-table
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1827 (let ((table (make-syntax-table)))
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1828 ;; Populate the syntax TABLE.
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1829 (modify-syntax-entry ?\\ "\\" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1830 (modify-syntax-entry ?+ "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1831 (modify-syntax-entry ?- "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1832 (modify-syntax-entry ?= "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1833 (modify-syntax-entry ?% "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1834 (modify-syntax-entry ?< "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1835 (modify-syntax-entry ?> "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1836 (modify-syntax-entry ?& "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1837 (modify-syntax-entry ?| "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1838 (modify-syntax-entry ?` "w" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1839 (modify-syntax-entry ?_ "w" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1840 (modify-syntax-entry ?\' "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1841
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1842 ;; Set up TABLE to handle block and line style comments.
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1843 (if (featurep 'xemacs)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1844 (progn
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1845 ;; XEmacs (formerly Lucid) has the best implementation
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1846 (modify-syntax-entry ?/ ". 1456" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1847 (modify-syntax-entry ?* ". 23" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1848 (modify-syntax-entry ?\n "> b" table))
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1849 ;; Emacs 19 does things differently, but we can work with it
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1850 (modify-syntax-entry ?/ ". 124b" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1851 (modify-syntax-entry ?* ". 23" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1852 (modify-syntax-entry ?\n "> b" table))
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1853 table)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
1854 "Syntax table used in Verilog mode buffers.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1855
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1856 (defvar verilog-font-lock-keywords nil
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1857 "Default highlighting for Verilog mode.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1858
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1859 (defvar verilog-font-lock-keywords-1 nil
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1860 "Subdued level highlighting for Verilog mode.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1861
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1862 (defvar verilog-font-lock-keywords-2 nil
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1863 "Medium level highlighting for Verilog mode.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1864 See also `verilog-font-lock-extra-types'.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1865
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1866 (defvar verilog-font-lock-keywords-3 nil
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1867 "Gaudy level highlighting for Verilog mode.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1868 See also `verilog-font-lock-extra-types'.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1869 (defvar verilog-font-lock-translate-off-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1870 'verilog-font-lock-translate-off-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1871 "Font to use for translated off regions.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1872 (defface verilog-font-lock-translate-off-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1873 '((((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1874 (background light))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1875 (:background "gray90" :italic t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1876 (((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1877 (background dark))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1878 (:background "gray10" :italic t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1879 (((class grayscale) (background light))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1880 (:foreground "DimGray" :italic t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1881 (((class grayscale) (background dark))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1882 (:foreground "LightGray" :italic t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1883 (t (:italis t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1884 "Font lock mode face used to background highlight translate-off regions."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1885 :group 'font-lock-highlighting-faces)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1886
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1887 (defvar verilog-font-lock-p1800-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1888 'verilog-font-lock-p1800-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1889 "Font to use for p1800 keywords.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1890 (defface verilog-font-lock-p1800-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1891 '((((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1892 (background light))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1893 (:foreground "DarkOrange3" :bold t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1894 (((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1895 (background dark))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1896 (:foreground "orange1" :bold t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1897 (t (:italic t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1898 "Font lock mode face used to highlight P1800 keywords."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1899 :group 'font-lock-highlighting-faces)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1900
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1901 (defvar verilog-font-lock-ams-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1902 'verilog-font-lock-ams-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1903 "Font to use for Analog/Mixed Signal keywords.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1904 (defface verilog-font-lock-ams-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1905 '((((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1906 (background light))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1907 (:foreground "Purple" :bold t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1908 (((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1909 (background dark))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1910 (:foreground "orange1" :bold t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1911 (t (:italic t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1912 "Font lock mode face used to highlight AMS keywords."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1913 :group 'font-lock-highlighting-faces)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1914
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1915 (defvar verilog-font-grouping-keywords-face
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1916 'verilog-font-lock-grouping-keywords-face
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1917 "Font to use for Verilog Grouping Keywords (such as begin..end).")
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1918 (defface verilog-font-lock-grouping-keywords-face
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1919 '((((class color)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1920 (background light))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1921 (:foreground "red4" :bold t ))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1922 (((class color)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1923 (background dark))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1924 (:foreground "red4" :bold t ))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1925 (t (:italic t)))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1926 "Font lock mode face used to highlight verilog grouping keywords."
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1927 :group 'font-lock-highlighting-faces)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1928
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1929 (let* ((verilog-type-font-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1930 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1931 (verilog-regexp-opt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1932 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1933 "and" "bit" "buf" "bufif0" "bufif1" "cmos" "defparam"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1934 "event" "genvar" "inout" "input" "integer" "localparam"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1935 "logic" "mailbox" "nand" "nmos" "not" "notif0" "notif1" "or"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1936 "output" "parameter" "pmos" "pull0" "pull1" "pullup"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1937 "rcmos" "real" "realtime" "reg" "rnmos" "rpmos" "rtran"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1938 "rtranif0" "rtranif1" "semaphore" "signed" "struct" "supply"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1939 "supply0" "supply1" "time" "tran" "tranif0" "tranif1"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1940 "tri" "tri0" "tri1" "triand" "trior" "trireg" "typedef"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1941 "uwire" "vectored" "wand" "wire" "wor" "xnor" "xor"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1942 ) nil )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1943
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1944 (verilog-pragma-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1945 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1946 (verilog-regexp-opt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1947 '("surefire" "synopsys" "rtl_synthesis" "verilint" ) nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1948 )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1949
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1950 (verilog-p1800-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1951 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1952 (verilog-regexp-opt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1953 '("alias" "assert" "assume" "automatic" "before" "bind"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1954 "bins" "binsof" "break" "byte" "cell" "chandle" "class"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1955 "clocking" "config" "const" "constraint" "context" "continue"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1956 "cover" "covergroup" "coverpoint" "cross" "deassign" "design"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1957 "dist" "do" "edge" "endclass" "endclocking" "endconfig"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1958 "endgroup" "endprogram" "endproperty" "endsequence" "enum"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1959 "expect" "export" "extends" "extern" "first_match" "foreach"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1960 "forkjoin" "genvar" "highz0" "highz1" "ifnone" "ignore_bins"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1961 "illegal_bins" "import" "incdir" "include" "inside" "instance"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1962 "int" "intersect" "large" "liblist" "library" "local" "longint"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1963 "matches" "medium" "modport" "new" "noshowcancelled" "null"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1964 "packed" "program" "property" "protected" "pull0" "pull1"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1965 "pulsestyle_onevent" "pulsestyle_ondetect" "pure" "rand" "randc"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1966 "randcase" "randsequence" "ref" "release" "return" "scalared"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1967 "sequence" "shortint" "shortreal" "showcancelled" "small" "solve"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1968 "specparam" "static" "string" "strong0" "strong1" "struct"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1969 "super" "tagged" "this" "throughout" "timeprecision" "timeunit"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1970 "type" "union" "unsigned" "use" "var" "virtual" "void"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1971 "wait_order" "weak0" "weak1" "wildcard" "with" "within"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1972 ) nil )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1973
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1974 (verilog-ams-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1975 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1976 (verilog-regexp-opt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1977 '("above" "abs" "absdelay" "acos" "acosh" "ac_stim"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1978 "aliasparam" "analog" "analysis" "asin" "asinh" "atan" "atan2" "atanh"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1979 "branch" "ceil" "connectmodule" "connectrules" "cos" "cosh" "ddt"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1980 "ddx" "discipline" "driver_update" "enddiscipline" "endconnectrules"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1981 "endnature" "endparamset" "exclude" "exp" "final_step" "flicker_noise"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1982 "floor" "flow" "from" "ground" "hypot" "idt" "idtmod" "inf"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1983 "initial_step" "laplace_nd" "laplace_np" "laplace_zd" "laplace_zp"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1984 "last_crossing" "limexp" "ln" "log" "max" "min" "nature"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1985 "net_resolution" "noise_table" "paramset" "potential" "pow" "sin"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1986 "sinh" "slew" "sqrt" "tan" "tanh" "timer" "transition" "white_noise"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1987 "wreal" "zi_nd" "zi_np" "zi_zd" ) nil )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1988
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1989 (verilog-font-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1990 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1991 (verilog-regexp-opt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1992 '(
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1993 "assign" "case" "casex" "casez" "randcase" "deassign"
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1994 "default" "disable" "else" "endcase" "endfunction"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1995 "endgenerate" "endinterface" "endmodule" "endprimitive"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1996 "endspecify" "endtable" "endtask" "final" "for" "force" "return" "break"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1997 "continue" "forever" "fork" "function" "generate" "if" "iff" "initial"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1998 "interface" "join" "join_any" "join_none" "macromodule" "module" "negedge"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1999 "package" "endpackage" "always" "always_comb" "always_ff"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2000 "always_latch" "posedge" "primitive" "priority" "release"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2001 "repeat" "specify" "table" "task" "unique" "wait" "while"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2002 "class" "program" "endclass" "endprogram"
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2003 ) nil )))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2004
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2005 (verilog-font-grouping-keywords
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2006 (eval-when-compile
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2007 (verilog-regexp-opt
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2008 '( "begin" "end" ) nil ))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2009
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2010 (setq verilog-font-lock-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2011 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2012 ;; Fontify all builtin keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2013 (concat "\\<\\(" verilog-font-keywords "\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2014 ;; And user/system tasks and functions
80267
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
2015 "\\$[a-zA-Z][a-zA-Z0-9_\\$]*"
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
2016 "\\)\\>")
80270
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2017 ;; Fontify all types
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2018 (if verilog-highlight-grouping-keywords
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2019 (cons (concat "\\<\\(" verilog-font-grouping-keywords "\\)\\>")
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2020 'verilog-font-lock-ams-face)
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2021 (cons (concat "\\<\\(" verilog-font-grouping-keywords "\\)\\>")
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2022 'font-lock-type-face))
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2023 (cons (concat "\\<\\(" verilog-type-font-keywords "\\)\\>")
80267
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
2024 'font-lock-type-face)
80270
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2025 ;; Fontify IEEE-P1800 keywords appropriately
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2026 (if verilog-highlight-p1800-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2027 (cons (concat "\\<\\(" verilog-p1800-keywords "\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2028 'verilog-font-lock-p1800-face)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2029 (cons (concat "\\<\\(" verilog-p1800-keywords "\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2030 'font-lock-type-face))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2031 ;; Fontify Verilog-AMS keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2032 (cons (concat "\\<\\(" verilog-ams-keywords "\\)\\>")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2033 'verilog-font-lock-ams-face)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2034
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2035 (setq verilog-font-lock-keywords-1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2036 (append verilog-font-lock-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2037 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2038 ;; Fontify module definitions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2039 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2040 "\\<\\(\\(macro\\)?module\\|primitive\\|class\\|program\\|interface\\|package\\|task\\)\\>\\s-*\\(\\sw+\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2041 '(1 font-lock-keyword-face)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2042 '(3 font-lock-function-name-face 'prepend))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2043 ;; Fontify function definitions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2044 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2045 (concat "\\<function\\>\\s-+\\(integer\\|real\\(time\\)?\\|time\\)\\s-+\\(\\sw+\\)" )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2046 '(1 font-lock-keyword-face)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2047 '(3 font-lock-reference-face prepend))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2048 '("\\<function\\>\\s-+\\(\\[[^]]+\\]\\)\\s-+\\(\\sw+\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2049 (1 font-lock-keyword-face)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2050 (2 font-lock-reference-face append))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2051 '("\\<function\\>\\s-+\\(\\sw+\\)"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2052 1 'font-lock-reference-face append))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2053
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2054 (setq verilog-font-lock-keywords-2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2055 (append verilog-font-lock-keywords-1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2056 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2057 ;; Fontify pragmas
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2058 (concat "\\(//\\s-*" verilog-pragma-keywords "\\s-.*\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2059 ;; Fontify escaped names
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2060 '("\\(\\\\\\S-*\\s-\\)" 0 font-lock-function-name-face)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2061 ;; Fontify macro definitions/ uses
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2062 '("`\\s-*[A-Za-z][A-Za-z0-9_]*" 0 (if (boundp 'font-lock-preprocessor-face)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2063 'font-lock-preprocessor-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2064 'font-lock-type-face))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2065 ;; Fontify delays/numbers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2066 '("\\(@\\)\\|\\(#\\s-*\\(\\(\[0-9_.\]+\\('s?[hdxbo][0-9a-fA-F_xz]*\\)?\\)\\|\\(([^()]+)\\|\\sw+\\)\\)\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2067 0 font-lock-type-face append)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2068 ;; Fontify instantiation names
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2069 '("\\([A-Za-z][A-Za-z0-9_]+\\)\\s-*(" 1 font-lock-function-name-face)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2070 )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2071
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2072 (setq verilog-font-lock-keywords-3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2073 (append verilog-font-lock-keywords-2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2074 (when verilog-highlight-translate-off
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2075 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2076 ;; Fontify things in translate off regions
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2077 '(verilog-match-translate-off
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2078 (0 'verilog-font-lock-translate-off-face prepend))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2079 )))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2080
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2081
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2082 (defun verilog-inside-comment-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2083 "Check if point inside a nested comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2084 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2085 (let ((st-point (point)) hitbeg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2086 (or (search-backward "//" (verilog-get-beg-of-line) t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2087 (if (progn
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2088 ;; This is for tricky case //*, we keep searching if /*
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2089 ;; is proceeded by // on same line.
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2090 (while
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2091 (and (setq hitbeg (search-backward "/*" nil t))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2092 (progn
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2093 (forward-char 1)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2094 (search-backward "//" (verilog-get-beg-of-line) t))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2095 hitbeg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2096 (not (search-forward "*/" st-point t)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2097
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2098 (defun verilog-declaration-end ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2099 (search-forward ";"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2100
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2101 (defun verilog-point-text (&optional pointnum)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2102 "Return text describing where POINTNUM or current point is (for errors).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2103 Use filename, if current buffer being edited shorten to just buffer name."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2104 (concat (or (and (equal (window-buffer (selected-window)) (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2105 (buffer-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2106 buffer-file-name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2107 (buffer-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2108 ":" (int-to-string (count-lines (point-min) (or pointnum (point))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2109
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2110 (defun electric-verilog-backward-sexp ()
80355
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
2111 "Move backward over one balanced expression."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2112 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2113 ;; before that see if we are in a comment
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2114 (verilog-backward-sexp))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2115
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2116 (defun electric-verilog-forward-sexp ()
80355
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
2117 "Move forward over one balanced expression."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2118 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2119 ;; before that see if we are in a comment
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2120 (verilog-forward-sexp))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2121
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2122 ;;;used by hs-minor-mode
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2123 (defun verilog-forward-sexp-function (arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2124 (if (< arg 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2125 (verilog-backward-sexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2126 (verilog-forward-sexp)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2127
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2128
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2129 (defun verilog-backward-sexp ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2130 (let ((reg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2131 (elsec 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2132 (found nil)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2133 (st (point)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2134 (if (not (looking-at "\\<"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2135 (forward-word -1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2136 (cond
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2137 ((verilog-skip-backward-comment-or-string))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2138 ((looking-at "\\<else\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2139 (setq reg (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2140 verilog-end-block-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2141 "\\|\\(\\<else\\>\\)"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2142 "\\|\\(\\<if\\>\\)"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2143 (while (and (not found)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2144 (verilog-re-search-backward reg nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2145 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2146 ((match-end 1) ; matched verilog-end-block-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2147 ; try to leap back to matching outward block by striding across
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2148 ; indent level changing tokens then immediately
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2149 ; previous line governs indentation.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2150 (verilog-leap-to-head))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2151 ((match-end 2) ; else, we're in deep
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2152 (setq elsec (1+ elsec)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2153 ((match-end 3) ; found it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2154 (setq elsec (1- elsec))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2155 (if (= 0 elsec)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2156 ;; Now previous line describes syntax
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2157 (setq found 't))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2158 ((looking-at verilog-end-block-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2159 (verilog-leap-to-head))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2160 ((looking-at "\\(endmodule\\>\\)\\|\\(\\<endprimitive\\>\\)\\|\\(\\<endclass\\>\\)\\|\\(\\<endprogram\\>\\)\\|\\(\\<endinterface\\>\\)\\|\\(\\<endpackage\\>\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2161 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2162 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2163 (verilog-re-search-backward "\\<\\(macro\\)?module\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2164 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2165 (verilog-re-search-backward "\\<primitive\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2166 ((match-end 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2167 (verilog-re-search-backward "\\<class\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2168 ((match-end 4)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2169 (verilog-re-search-backward "\\<program\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2170 ((match-end 5)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2171 (verilog-re-search-backward "\\<interface\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2172 ((match-end 6)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2173 (verilog-re-search-backward "\\<package\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2174 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2175 (goto-char st)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2176 (backward-sexp 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2177 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2178 (goto-char st)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2179 (backward-sexp)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2180
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2181 (defun verilog-forward-sexp ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2182 (let ((reg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2183 (md 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2184 (st (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2185 (if (not (looking-at "\\<"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2186 (forward-word -1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2187 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2188 ((verilog-skip-forward-comment-or-string)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2189 (verilog-forward-syntactic-ws))
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2190 ((looking-at verilog-beg-block-re-ordered) ;; begin|(case)|xx|(fork)|class|table|specify|function|task|generate|covergroup|property|sequence|clocking
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2191 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2192 ((match-end 1) ; end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2193 ;; Search forward for matching begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2194 (setq reg "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2195 ((match-end 2) ; endcase
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2196 ;; Search forward for matching case
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2197 (setq reg "\\(\\<randcase\\>\\|\\(\\<unique\\>\\s-+\\|\\<priority\\>\\s-+\\)?\\<case[xz]?\\>[^:]\\)\\|\\(\\<endcase\\>\\)" )
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2198 (setq md 3) ;; ender is third item in regexp
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2199 )
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2200 ((match-end 4) ; join
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2201 ;; might be "disable fork"
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2202 (if (or
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2203 (looking-at verilog-disable-fork-re)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2204 (and (looking-at "fork")
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2205 (progn
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2206 (forward-word -1)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2207 (looking-at verilog-disable-fork-re))))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2208 (progn
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2209 (goto-char (match-end 0))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2210 (forward-word)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2211 (setq reg nil))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2212 (progn
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2213 ;; Search forward for matching fork
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2214 (setq reg "\\(\\<fork\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)" ))))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2215 ((match-end 5) ; endclass
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2216 ;; Search forward for matching class
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2217 (setq reg "\\(\\<class\\>\\)\\|\\(\\<endclass\\>\\)" ))
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2218 ((match-end 6) ; endtable
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2219 ;; Search forward for matching table
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2220 (setq reg "\\(\\<table\\>\\)\\|\\(\\<endtable\\>\\)" ))
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2221 ((match-end 7) ; endspecify
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2222 ;; Search forward for matching specify
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2223 (setq reg "\\(\\<specify\\>\\)\\|\\(\\<endspecify\\>\\)" ))
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2224 ((match-end 8) ; endfunction
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2225 ;; Search forward for matching function
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2226 (setq reg "\\(\\<function\\>\\)\\|\\(\\<endfunction\\>\\)" ))
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2227 ((match-end 9) ; endtask
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2228 ;; Search forward for matching task
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2229 (setq reg "\\(\\<task\\>\\)\\|\\(\\<endtask\\>\\)" ))
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2230 ((match-end 10) ; endgenerate
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2231 ;; Search forward for matching generate
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2232 (setq reg "\\(\\<generate\\>\\)\\|\\(\\<endgenerate\\>\\)" ))
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2233 ((match-end 11) ; endgroup
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2234 ;; Search forward for matching covergroup
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2235 (setq reg "\\(\\<covergroup\\>\\)\\|\\(\\<endgroup\\>\\)" ))
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2236 ((match-end 12) ; endproperty
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2237 ;; Search forward for matching property
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2238 (setq reg "\\(\\<property\\>\\)\\|\\(\\<endproperty\\>\\)" ))
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2239 ((match-end 13) ; endsequence
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2240 ;; Search forward for matching sequence
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2241 (setq reg "\\(\\<\\(rand\\)?sequence\\>\\)\\|\\(\\<endsequence\\>\\)" )
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2242 (setq md 3)) ; 3 to get to endsequence in the reg above
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2243 ((match-end 14) ; endclocking
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2244 ;; Search forward for matching clocking
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2245 (setq reg "\\(\\<clocking\\>\\)\\|\\(\\<endclocking\\>\\)" )))
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2246 (if (and reg
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2247 (forward-word 1))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2248 (catch 'skip
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2249 (let ((nest 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2250 (while (verilog-re-search-forward reg nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2251 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2252 ((match-end md) ; the closer in reg, so we are climbing out
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2253 (setq nest (1- nest))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2254 (if (= 0 nest) ; we are out!
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2255 (throw 'skip 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2256 ((match-end 1) ; the opener in reg, so we are deeper now
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2257 (setq nest (1+ nest)))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2258 ((looking-at (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2259 "\\(\\<\\(macro\\)?module\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2260 "\\(\\<primitive\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2261 "\\(\\<class\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2262 "\\(\\<program\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2263 "\\(\\<interface\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2264 "\\(\\<package\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2265 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2266 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2267 (verilog-re-search-forward "\\<endmodule\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2268 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2269 (verilog-re-search-forward "\\<endprimitive\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2270 ((match-end 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2271 (verilog-re-search-forward "\\<endclass\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2272 ((match-end 4)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2273 (verilog-re-search-forward "\\<endprogram\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2274 ((match-end 5)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2275 (verilog-re-search-forward "\\<endinterface\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2276 ((match-end 6)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2277 (verilog-re-search-forward "\\<endpackage\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2278 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2279 (goto-char st)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2280 (if (= (following-char) ?\) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2281 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2282 (forward-sexp 1)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2283 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2284 (goto-char st)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2285 (if (= (following-char) ?\) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2286 (forward-char 1)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2287 (forward-sexp 1))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2288
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2289 (defun verilog-declaration-beg ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2290 (verilog-re-search-backward verilog-declaration-re (bobp) t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2291
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2292 (defun verilog-font-lock-init ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2293 "Initialize fontification."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2294 ;; highlight keywords and standardized types, attributes, enumeration
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2295 ;; values, and subprograms
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2296 (setq verilog-font-lock-keywords-3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2297 (append verilog-font-lock-keywords-2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2298 (when verilog-highlight-translate-off
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2299 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2300 ;; Fontify things in translate off regions
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2301 '(verilog-match-translate-off
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2302 (0 'verilog-font-lock-translate-off-face prepend))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2303 (put 'verilog-mode 'font-lock-defaults
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2304 '((verilog-font-lock-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2305 verilog-font-lock-keywords-1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2306 verilog-font-lock-keywords-2
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2307 verilog-font-lock-keywords-3)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2308 nil ; nil means highlight strings & comments as well as keywords
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2309 nil ; nil means keywords must match case
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2310 nil ; syntax table handled elsewhere
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2311 ;; Function to move to beginning of reasonable region to highlight
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2312 verilog-beg-of-defun)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2313
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2314 ;; initialize fontification for Verilog Mode
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2315 (verilog-font-lock-init)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2316
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2317 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2318 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2319 ;; Mode
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2320 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2321 (defvar verilog-which-tool 1)
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
2322 ;;;###autoload
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2323 (defun verilog-mode ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2324 "Major mode for editing Verilog code.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2325 \\<verilog-mode-map>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2326 See \\[describe-function] verilog-auto (\\[verilog-auto]) for details on how
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2327 AUTOs can improve coding efficiency.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2328
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2329 Use \\[verilog-faq] for a pointer to frequently asked questions.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2330
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2331 NEWLINE, TAB indents for Verilog code.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2332 Delete converts tabs to spaces as it moves back.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2333
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2334 Supports highlighting.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2335
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2336 Turning on Verilog mode calls the value of the variable `verilog-mode-hook'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2337 with no args, if that value is non-nil.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2338
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2339 Variables controlling indentation/edit style:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2340
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2341 variable `verilog-indent-level' (default 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2342 Indentation of Verilog statements with respect to containing block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2343 `verilog-indent-level-module' (default 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2344 Absolute indentation of Module level Verilog statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2345 Set to 0 to get initial and always statements lined up
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2346 on the left side of your screen.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2347 `verilog-indent-level-declaration' (default 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2348 Indentation of declarations with respect to containing block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2349 Set to 0 to get them list right under containing block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2350 `verilog-indent-level-behavioral' (default 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2351 Indentation of first begin in a task or function block
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2352 Set to 0 to get such code to lined up underneath the task or
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2353 function keyword.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2354 `verilog-indent-level-directive' (default 1)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2355 Indentation of `ifdef/`endif blocks.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2356 `verilog-cexp-indent' (default 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2357 Indentation of Verilog statements broken across lines i.e.:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2358 if (a)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2359 begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2360 `verilog-case-indent' (default 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2361 Indentation for case statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2362 `verilog-auto-newline' (default nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2363 Non-nil means automatically newline after semicolons and the punctuation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2364 mark after an end.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2365 `verilog-auto-indent-on-newline' (default t)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2366 Non-nil means automatically indent line after newline.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2367 `verilog-tab-always-indent' (default t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2368 Non-nil means TAB in Verilog mode should always reindent the current line,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2369 regardless of where in the line point is when the TAB command is used.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2370 `verilog-indent-begin-after-if' (default t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2371 Non-nil means to indent begin statements following a preceding
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2372 if, else, while, for and repeat statements, if any. Otherwise,
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2373 the begin is lined up with the preceding token. If t, you get:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2374 if (a)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2375 begin // amount of indent based on `verilog-cexp-indent'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2376 otherwise you get:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2377 if (a)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2378 begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2379 `verilog-auto-endcomments' (default t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2380 Non-nil means a comment /* ... */ is set after the ends which ends
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2381 cases, tasks, functions and modules.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2382 The type and name of the object will be set between the braces.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2383 `verilog-minimum-comment-distance' (default 10)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2384 Minimum distance (in lines) between begin and end required before a comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2385 will be inserted. Setting this variable to zero results in every
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2386 end acquiring a comment; the default avoids too many redundant
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2387 comments in tight quarters.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2388 `verilog-auto-lineup' (default `(all))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2389 List of contexts where auto lineup of code should be done.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2390
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2391 Variables controlling other actions:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2392
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2393 `verilog-linter' (default surelint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2394 Unix program to call to run the lint checker. This is the default
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2395 command for \\[compile-command] and \\[verilog-auto-save-compile].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2396
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2397 See \\[customize] for the complete list of variables.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2398
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2399 AUTO expansion functions are, in part:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2400
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2401 \\[verilog-auto] Expand AUTO statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2402 \\[verilog-delete-auto] Remove the AUTOs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2403 \\[verilog-inject-auto] Insert AUTOs for the first time.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2404
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2405 Some other functions are:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2406
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2407 \\[verilog-complete-word] Complete word with appropriate possibilities.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2408 \\[verilog-mark-defun] Mark function.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2409 \\[verilog-beg-of-defun] Move to beginning of current function.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2410 \\[verilog-end-of-defun] Move to end of current function.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2411 \\[verilog-label-be] Label matching begin ... end, fork ... join, etc statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2412
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2413 \\[verilog-comment-region] Put marked area in a comment.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2414 \\[verilog-uncomment-region] Uncomment an area commented with \\[verilog-comment-region].
80355
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
2415 \\[verilog-insert-block] Insert begin ... end.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2416 \\[verilog-star-comment] Insert /* ... */.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2417
80355
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
2418 \\[verilog-sk-always] Insert an always @(AS) begin .. end block.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2419 \\[verilog-sk-begin] Insert a begin .. end block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2420 \\[verilog-sk-case] Insert a case block, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2421 \\[verilog-sk-for] Insert a for (...) begin .. end block, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2422 \\[verilog-sk-generate] Insert a generate .. endgenerate block.
80355
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
2423 \\[verilog-sk-header] Insert a header block at the top of file.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2424 \\[verilog-sk-initial] Insert an initial begin .. end block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2425 \\[verilog-sk-fork] Insert a fork begin .. end .. join block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2426 \\[verilog-sk-module] Insert a module .. (/*AUTOARG*/);.. endmodule block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2427 \\[verilog-sk-primitive] Insert a primitive .. (.. );.. endprimitive block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2428 \\[verilog-sk-repeat] Insert a repeat (..) begin .. end block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2429 \\[verilog-sk-specify] Insert a specify .. endspecify block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2430 \\[verilog-sk-task] Insert a task .. begin .. end endtask block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2431 \\[verilog-sk-while] Insert a while (...) begin .. end block, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2432 \\[verilog-sk-casex] Insert a casex (...) item: begin.. end endcase block, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2433 \\[verilog-sk-casez] Insert a casez (...) item: begin.. end endcase block, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2434 \\[verilog-sk-if] Insert an if (..) begin .. end block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2435 \\[verilog-sk-else-if] Insert an else if (..) begin .. end block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2436 \\[verilog-sk-comment] Insert a comment block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2437 \\[verilog-sk-assign] Insert an assign .. = ..; statement.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2438 \\[verilog-sk-function] Insert a function .. begin .. end endfunction block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2439 \\[verilog-sk-input] Insert an input declaration, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2440 \\[verilog-sk-output] Insert an output declaration, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2441 \\[verilog-sk-state-machine] Insert a state machine definition, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2442 \\[verilog-sk-inout] Insert an inout declaration, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2443 \\[verilog-sk-wire] Insert a wire declaration, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2444 \\[verilog-sk-reg] Insert a register declaration, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2445 \\[verilog-sk-define-signal] Define signal under point as a register at the top of the module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2446
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2447 All key bindings can be seen in a Verilog-buffer with \\[describe-bindings].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2448 Key bindings specific to `verilog-mode-map' are:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2449
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2450 \\{verilog-mode-map}"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2451 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2452 (kill-all-local-variables)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2453 (use-local-map verilog-mode-map)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2454 (setq major-mode 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2455 (setq mode-name "Verilog")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2456 (setq local-abbrev-table verilog-mode-abbrev-table)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2457 (set (make-local-variable 'beginning-of-defun-function)
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
2458 'verilog-beg-of-defun)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2459 (set (make-local-variable 'end-of-defun-function)
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
2460 'verilog-end-of-defun)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2461 (set-syntax-table verilog-mode-syntax-table)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2462 (make-local-variable 'indent-line-function)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2463 (setq indent-line-function 'verilog-indent-line-relative)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2464 (setq comment-indent-function 'verilog-comment-indent)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2465 (make-local-variable 'parse-sexp-ignore-comments)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2466 (setq parse-sexp-ignore-comments nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2467 (make-local-variable 'comment-start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2468 (make-local-variable 'comment-end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2469 (make-local-variable 'comment-multi-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2470 (make-local-variable 'comment-start-skip)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2471 (setq comment-start "// "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2472 comment-end ""
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2473 comment-start-skip "/\\*+ *\\|// *"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2474 comment-multi-line nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2475 ;; Set up for compilation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2476 (setq verilog-which-tool 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2477 (setq verilog-tool 'verilog-linter)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2478 (verilog-set-compile-command)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2479 (when (boundp 'hack-local-variables-hook) ;; Also modify any file-local-variables
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2480 (add-hook 'hack-local-variables-hook 'verilog-modify-compile-command t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2481
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2482 ;; Setting up menus
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
2483 (when (featurep 'xemacs)
80172
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2484 (easy-menu-add verilog-stmt-menu)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2485 (easy-menu-add verilog-menu)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2486 (setq mode-popup-menu (cons "Verilog Mode" verilog-stmt-menu)))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2487
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2488 ;; Stuff for GNU emacs
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2489 (set (make-local-variable 'font-lock-defaults)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2490 '((verilog-font-lock-keywords verilog-font-lock-keywords-1
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2491 verilog-font-lock-keywords-2
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2492 verilog-font-lock-keywords-3)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2493 nil nil nil verilog-beg-of-defun))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2494 ;;------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2495 ;; now hook in 'verilog-colorize-include-files (eldo-mode.el&spice-mode.el)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2496 ;; all buffer local:
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2497 (when (featurep 'xemacs)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2498 (make-local-hook 'font-lock-mode-hook)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2499 (make-local-hook 'font-lock-after-fontify-buffer-hook); doesn't exist in emacs 20
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2500 (make-local-hook 'after-change-functions))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2501 (add-hook 'font-lock-mode-hook 'verilog-colorize-include-files-buffer t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2502 (add-hook 'font-lock-after-fontify-buffer-hook 'verilog-colorize-include-files-buffer t t) ; not in emacs 20
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2503 (add-hook 'after-change-functions 'verilog-colorize-include-files t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2504
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2505 ;; Tell imenu how to handle Verilog.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2506 (make-local-variable 'imenu-generic-expression)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2507 (setq imenu-generic-expression verilog-imenu-generic-expression)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2508 ;; hideshow support
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2509 (unless (assq 'verilog-mode hs-special-modes-alist)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2510 (setq hs-special-modes-alist
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2511 (cons '(verilog-mode-mode "\\<begin\\>" "\\<end\\>" nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2512 verilog-forward-sexp-function)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2513 hs-special-modes-alist)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2514
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2515 ;; Stuff for autos
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2516 (add-hook 'write-contents-hooks 'verilog-auto-save-check) ; already local
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2517 ;; (verilog-auto-reeval-locals t) ; Save locals in case user changes them
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2518 ;; (verilog-getopt-flags)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2519 (run-hooks 'verilog-mode-hook))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2520
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2521
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2522 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2523 ;; Electric functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2524 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2525 (defun electric-verilog-terminate-line (&optional arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2526 "Terminate line and indent next line.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2527 With optional ARG, remove existing end of line comments."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2528 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2529 ;; before that see if we are in a comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2530 (let ((state
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2531 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2532 (parse-partial-sexp (point-min) (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2533 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2534 ((nth 7 state) ; Inside // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2535 (if (eolp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2536 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2537 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2538 (newline))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2539 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2540 (newline)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2541 (insert "// ")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2542 (beginning-of-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2543 (verilog-indent-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2544 ((nth 4 state) ; Inside any comment (hence /**/)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2545 (newline)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2546 (verilog-more-comment))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2547 ((eolp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2548 ;; First, check if current line should be indented
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2549 (if (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2550 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2551 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2552 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2553 (if (looking-at verilog-auto-end-comment-lines-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2554 (let ((indent-str (verilog-indent-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2555 ;; Maybe we should set some endcomments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2556 (if verilog-auto-endcomments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2557 (verilog-set-auto-endcomments indent-str arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2558 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2559 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2560 (if arg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2561 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2562 (newline))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2563 nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2564 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2565 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2566 (delete-horizontal-space)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2567 't)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2568 ;; see if we should line up assignments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2569 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2570 (if (or (memq 'all verilog-auto-lineup)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2571 (memq 'assignments verilog-auto-lineup))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2572 (verilog-pretty-expr))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2573 (newline))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2574 (forward-line 1))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2575 ;; Indent next line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2576 (if verilog-auto-indent-on-newline
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2577 (verilog-indent-line)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2578 (t
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2579 (newline)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2580
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2581 (defun electric-verilog-terminate-and-indent ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2582 "Insert a newline and indent for the next statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2583 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2584 (electric-verilog-terminate-line 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2585
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2586 (defun electric-verilog-semi ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2587 "Insert `;' character and reindent the line."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2588 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2589 (insert last-command-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2590
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2591 (if (or (verilog-in-comment-or-string-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2592 (verilog-in-escaped-name-p))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2593 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2594 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2595 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2596 (verilog-forward-ws&directives)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2597 (verilog-indent-line))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2598 (if (and verilog-auto-newline
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2599 (not (verilog-parenthesis-depth)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2600 (electric-verilog-terminate-line))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2601
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2602 (defun electric-verilog-semi-with-comment ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2603 "Insert `;' character, reindent the line and indent for comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2604 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2605 (insert "\;")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2606 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2607 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2608 (verilog-indent-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2609 (indent-for-comment))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2610
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2611 (defun electric-verilog-colon ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2612 "Insert `:' and do all indentations except line indent on this line."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2613 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2614 (insert last-command-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2615 ;; Do nothing if within string.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2616 (if (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2617 (verilog-within-string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2618 (not (verilog-in-case-region-p)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2619 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2620 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2621 (let ((p (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2622 (lim (progn (verilog-beg-of-statement) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2623 (goto-char p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2624 (verilog-backward-case-item lim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2625 (verilog-indent-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2626 ;; (let ((verilog-tab-always-indent nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2627 ;; (verilog-indent-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2628 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2629
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2630 ;;(defun electric-verilog-equal ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2631 ;; "Insert `=', and do indentation if within block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2632 ;; (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2633 ;; (insert last-command-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2634 ;; Could auto line up expressions, but not yet
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2635 ;; (if (eq (car (verilog-calculate-indent)) 'block)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2636 ;; (let ((verilog-tab-always-indent nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2637 ;; (verilog-indent-command)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2638 ;; )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2639
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2640 (defun electric-verilog-tick ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2641 "Insert back-tick, and indent to column 0 if this is a CPP directive."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2642 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2643 (insert last-command-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2644 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2645 (if (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2646 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2647 (looking-at verilog-directive-re-1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2648 (verilog-indent-line))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2649
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2650 (defun electric-verilog-tab ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2651 "Function called when TAB is pressed in Verilog mode."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2652 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2653 ;; If verilog-tab-always-indent, indent the beginning of the line.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2654 (if (or verilog-tab-always-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2655 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2656 (skip-chars-backward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2657 (bolp)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2658 (let* ((oldpnt (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2659 (boi-point
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2660 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2661 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2662 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2663 (verilog-indent-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2664 (back-to-indentation)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2665 (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2666 (if (< (point) boi-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2667 (back-to-indentation)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2668 (cond ((not verilog-tab-to-comment))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2669 ((not (eolp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2670 (end-of-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2671 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2672 (indent-for-comment)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2673 (when (and (eolp) (= oldpnt (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2674 ; kill existing comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2675 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2676 (re-search-forward comment-start-skip oldpnt 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2677 (goto-char (match-beginning 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2678 (skip-chars-backward " \t")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2679 (kill-region (point) oldpnt))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2680 (progn (insert "\t"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2681
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2682
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2683
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2684 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2685 ;; Interactive functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2686 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2687
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2688 (defun verilog-indent-buffer ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2689 "Indent-region the entire buffer as Verilog code.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2690 To call this from the command line, see \\[verilog-batch-indent]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2691 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2692 (verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2693 (indent-region (point-min) (point-max) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2694
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2695 (defun verilog-insert-block ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2696 "Insert Verilog begin ... end; block in the code with right indentation."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2697 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2698 (verilog-indent-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2699 (insert "begin")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2700 (electric-verilog-terminate-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2701 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2702 (electric-verilog-terminate-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2703 (insert "end")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2704 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2705 (verilog-indent-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2706
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2707 (defun verilog-star-comment ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2708 "Insert Verilog star comment at point."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2709 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2710 (verilog-indent-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2711 (insert "/*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2712 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2713 (newline)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2714 (insert " */"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2715 (newline)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2716 (insert " * "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2717
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2718 (defun verilog-insert-1 (fmt max)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2719 "Use format string FMT to insert integers 0 to MAX - 1.
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2720 Inserts one integer per line, at the current column. Stops early
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2721 if it reaches the end of the buffer."
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2722 (let ((col (current-column))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2723 (n 0))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2724 (save-excursion
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2725 (while (< n max)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2726 (insert (format fmt n))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2727 (forward-line 1)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2728 ;; Note that this function does not bother to check for lines
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2729 ;; shorter than col.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2730 (if (eobp)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2731 (setq n max)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2732 (setq n (1+ n))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2733 (move-to-column col))))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2734
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2735 (defun verilog-insert-indices (max)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2736 "Insert a set of indices into a rectangle.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2737 The upper left corner is defined by point. Indices begin with 0
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2738 and extend to the MAX - 1. If no prefix arg is given, the user
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2739 is prompted for a value. The indices are surrounded by square
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2740 brackets \[]. For example, the following code with the point
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2741 located after the first 'a' gives:
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2742
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2743 a = b a[ 0] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2744 a = b a[ 1] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2745 a = b a[ 2] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2746 a = b a[ 3] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2747 a = b ==> insert-indices ==> a[ 4] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2748 a = b a[ 5] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2749 a = b a[ 6] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2750 a = b a[ 7] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2751 a = b a[ 8] = b"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2752
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2753 (interactive "NMAX: ")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2754 (verilog-insert-1 "[%3d]" max))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2755
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2756 (defun verilog-generate-numbers (max)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2757 "Insert a set of generated numbers into a rectangle.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2758 The upper left corner is defined by point. The numbers are padded to three
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2759 digits, starting with 000 and extending to (MAX - 1). If no prefix argument
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2760 is supplied, then the user is prompted for the MAX number. Consider the
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2761 following code fragment:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2762
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2763 buf buf buf buf000
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2764 buf buf buf buf001
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2765 buf buf buf buf002
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2766 buf buf buf buf003
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2767 buf buf ==> generate-numbers ==> buf buf004
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2768 buf buf buf buf005
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2769 buf buf buf buf006
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2770 buf buf buf buf007
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2771 buf buf buf buf008"
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2772
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2773 (interactive "NMAX: ")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2774 (verilog-insert-1 "%3.3d" max))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2775
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2776 (defun verilog-mark-defun ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2777 "Mark the current Verilog function (or procedure).
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2778 This puts the mark at the end, and point at the beginning."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2779 (interactive)
80172
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2780 (if (featurep 'xemacs)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2781 (progn
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2782 (push-mark (point))
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2783 (verilog-end-of-defun)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2784 (push-mark (point))
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2785 (verilog-beg-of-defun)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2786 (if (fboundp 'zmacs-activate-region)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2787 (zmacs-activate-region)))
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2788 (mark-defun)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2789
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2790 (defun verilog-comment-region (start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2791 ; checkdoc-params: (start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2792 "Put the region into a Verilog comment.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2793 The comments that are in this area are \"deformed\":
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2794 `*)' becomes `!(*' and `}' becomes `!{'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2795 These deformed comments are returned to normal if you use
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2796 \\[verilog-uncomment-region] to undo the commenting.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2797
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2798 The commented area starts with `verilog-exclude-str-start', and ends with
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2799 `verilog-exclude-str-end'. But if you change these variables,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2800 \\[verilog-uncomment-region] won't recognize the comments."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2801 (interactive "r")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2802 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2803 ;; Insert start and endcomments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2804 (goto-char end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2805 (if (and (save-excursion (skip-chars-forward " \t") (eolp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2806 (not (save-excursion (skip-chars-backward " \t") (bolp))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2807 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2808 (beginning-of-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2809 (insert verilog-exclude-str-end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2810 (setq end (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2811 (newline)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2812 (goto-char start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2813 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2814 (insert verilog-exclude-str-start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2815 (newline)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2816 ;; Replace end-comments within commented area
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2817 (goto-char end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2818 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2819 (while (re-search-backward "\\*/" start t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2820 (replace-match "*-/" t t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2821 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2822 (let ((s+1 (1+ start)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2823 (while (re-search-backward "/\\*" s+1 t)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2824 (replace-match "/-*" t t))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2825
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2826 (defun verilog-uncomment-region ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2827 "Uncomment a commented area; change deformed comments back to normal.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2828 This command does nothing if the pointer is not in a commented
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2829 area. See also `verilog-comment-region'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2830 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2831 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2832 (let ((start (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2833 (end (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2834 ;; Find the boundaries of the comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2835 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2836 (setq start (progn (search-backward verilog-exclude-str-start nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2837 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2838 (setq end (progn (search-forward verilog-exclude-str-end nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2839 (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2840 ;; Check if we're really inside a comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2841 (if (or (equal start (point)) (<= end (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2842 (message "Not standing within commented area.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2843 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2844 ;; Remove endcomment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2845 (goto-char end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2846 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2847 (let ((pos (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2848 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2849 (delete-region pos (1+ (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2850 ;; Change comments back to normal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2851 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2852 (while (re-search-backward "\\*-/" start t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2853 (replace-match "*/" t t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2854 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2855 (while (re-search-backward "/-\\*" start t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2856 (replace-match "/*" t t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2857 ;; Remove start comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2858 (goto-char start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2859 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2860 (let ((pos (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2861 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2862 (delete-region pos (1+ (point)))))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2863
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2864 (defun verilog-beg-of-defun ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2865 "Move backward to the beginning of the current function or procedure."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2866 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2867 (verilog-re-search-backward verilog-defun-re nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2868
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2869 (defun verilog-end-of-defun ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2870 "Move forward to the end of the current function or procedure."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2871 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2872 (verilog-re-search-forward verilog-end-defun-re nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2873
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2874 (defun verilog-get-beg-of-defun (&optional warn)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2875 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2876 (cond ((verilog-re-search-forward-quick verilog-defun-re nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2877 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2878 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2879 (error "%s: Can't find module beginning" (verilog-point-text))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2880 (point-max)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2881 (defun verilog-get-end-of-defun (&optional warn)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2882 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2883 (cond ((verilog-re-search-forward-quick verilog-end-defun-re nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2884 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2885 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2886 (error "%s: Can't find endmodule" (verilog-point-text))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2887 (point-max)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2888
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2889 (defun verilog-label-be (&optional arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2890 "Label matching begin ... end, fork ... join and case ... endcase statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2891 With ARG, first kill any existing labels."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2892 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2893 (let ((cnt 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2894 (oldpos (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2895 (b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2896 (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2897 (point-marker)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2898 (e (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2899 (verilog-end-of-defun)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2900 (point-marker))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2901 (goto-char (marker-position b))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2902 (if (> (- e b) 200)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2903 (message "Relabeling module..."))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2904 (while (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2905 (> (marker-position e) (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2906 (verilog-re-search-forward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2907 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2908 "\\<end\\(\\(function\\)\\|\\(task\\)\\|\\(module\\)\\|\\(primitive\\)\\|\\(interface\\)\\|\\(package\\)\\|\\(case\\)\\)?\\>"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2909 "\\|\\(`endif\\)\\|\\(`else\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2910 nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2911 (goto-char (match-beginning 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2912 (let ((indent-str (verilog-indent-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2913 (verilog-set-auto-endcomments indent-str 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2914 (end-of-line)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2915 (delete-horizontal-space))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2916 (setq cnt (1+ cnt))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2917 (if (= 9 (% cnt 10))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2918 (message "%d..." cnt)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2919 (goto-char oldpos)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2920 (if (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2921 (> (- e b) 200)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2922 (> cnt 20))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2923 (message "%d lines auto commented" cnt))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2924
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2925 (defun verilog-beg-of-statement ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2926 "Move backward to beginning of statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2927 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2928 ;; Move back token by token until we see the end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2929 ;; of some ealier line.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2930 (while
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2931 ;; If the current point does not begin a new
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2932 ;; statement, as in the character ahead of us is a ';', or SOF
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2933 ;; or the string after us unambiguosly starts a statement,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2934 ;; or the token before us unambiguously ends a statement,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2935 ;; then move back a token and test again.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2936 (not (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2937 (bolp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2938 (= (preceding-char) ?\;)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2939 (not (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2940 (looking-at "\\<")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2941 (forward-word -1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2942 (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2943 (looking-at verilog-extended-complete-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2944 (not (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2945 (verilog-backward-token)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2946 (looking-at verilog-extended-complete-re))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2947 (looking-at verilog-basic-complete-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2948 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2949 (verilog-backward-token)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2950 (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2951 (looking-at verilog-end-block-re)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2952 (looking-at verilog-preprocessor-re)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2953 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2954 (verilog-backward-token))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2955 ;; Now point is where the previous line ended.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2956 (verilog-forward-syntactic-ws))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2957
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2958 (defun verilog-beg-of-statement-1 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2959 "Move backward to beginning of statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2960 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2961 (let ((pt (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2962
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2963 (while (and (not (looking-at verilog-complete-reg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2964 (setq pt (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2965 (verilog-backward-token)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2966 (not (looking-at verilog-complete-reg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2967 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2968 (setq pt (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2969 (not (bolp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2970 (not (= (preceding-char) ?\;))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2971 (goto-char pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2972 (verilog-forward-ws&directives)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2973
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2974 (defun verilog-end-of-statement ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2975 "Move forward to end of current statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2976 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2977 (let ((nest 0) pos)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2978 (or (looking-at verilog-beg-block-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2979 ;; Skip to end of statement
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2980 (setq pos (catch 'found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2981 (while t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2982 (forward-sexp 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2983 (verilog-skip-forward-comment-or-string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2984 (cond ((looking-at "[ \t]*;")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2985 (skip-chars-forward "^;")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2986 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2987 (throw 'found (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2988 ((save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2989 (forward-sexp -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2990 (looking-at verilog-beg-block-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2991 (goto-char (match-beginning 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2992 (throw 'found nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2993 ((looking-at "[ \t]*)")
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
2994 (throw 'found (point)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2995 ((eobp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2996 (throw 'found (point))))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2997 (if (not pos)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2998 ;; Skip a whole block
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2999 (catch 'found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3000 (while t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3001 (verilog-re-search-forward verilog-end-statement-re nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3002 (setq nest (if (match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3003 (1+ nest)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3004 (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3005 (cond ((eobp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3006 (throw 'found (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3007 ((= 0 nest)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3008 (throw 'found (verilog-end-of-statement))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3009 pos)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3010
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3011 (defun verilog-in-case-region-p ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3012 "Return true if in a case region.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3013 More specifically, point @ in the line foo : @ begin"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3014 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3015 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3016 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3017 (progn (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3018 (looking-at "\\<begin\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3019 (progn (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3020 (= (preceding-char) ?\:)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3021 (catch 'found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3022 (let ((nest 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3023 (while t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3024 (verilog-re-search-backward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3025 (concat "\\(\\<module\\>\\)\\|\\(\\<randcase\\>\\|\\<case[xz]?\\>[^:]\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3026 "\\(\\<endcase\\>\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3027 nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3028 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3029 ((match-end 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3030 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3031 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3032 (if (= nest 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3033 (throw 'found 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3034 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3035 (t
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3036 (throw 'found (= nest 0)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3037 nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3038 (defun verilog-in-struct-region-p ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3039 "Return true if in a struct region.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3040 More specifically, in a list after a struct|union keyword."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3041 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3042 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3043 (let* ((state (parse-partial-sexp (point-min) (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3044 (depth (nth 0 state)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3045 (if depth
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3046 (progn (backward-up-list depth)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3047 (verilog-beg-of-statement)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3048 (looking-at "\\<typedef\\>?\\s-*\\<struct\\|union\\>"))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3049
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3050 (defun verilog-in-generate-region-p ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3051 "Return true if in a generate region.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3052 More specifically, after a generate and before an endgenerate."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3053 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3054 (let ((lim (save-excursion (verilog-beg-of-defun) (point)))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3055 (nest 1))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3056 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3057 (while (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3058 (/= nest 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3059 (verilog-re-search-backward "\\<\\(generate\\)\\|\\(endgenerate\\)\\>" lim 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3060 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3061 ((match-end 1) ; generate
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3062 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3063 ((match-end 2) ; endgenerate
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3064 (setq nest (1+ nest)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3065 (= nest 0) )) ; return nest
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3066
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3067 (defun verilog-in-fork-region-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3068 "Return true if between a fork and join."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3069 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3070 (let ((lim (save-excursion (verilog-beg-of-defun) (point)))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3071 (nest 1))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3072 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3073 (while (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3074 (/= nest 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3075 (verilog-re-search-backward "\\<\\(fork\\)\\|\\(join\\(_any\\|_none\\)?\\)\\>" lim 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3076 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3077 ((match-end 1) ; fork
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3078 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3079 ((match-end 2) ; join
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3080 (setq nest (1+ nest)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3081 (= nest 0) )) ; return nest
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3082
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3083 (defun verilog-backward-case-item (lim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3084 "Skip backward to nearest enclosing case item.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3085 Limit search to point LIM."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3086 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3087 (let ((str 'nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3088 (lim1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3089 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3090 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3091 (verilog-re-search-backward verilog-endcomment-reason-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3092 lim 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3093 (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3094 ;; Try to find the real :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3095 (if (save-excursion (search-backward ":" lim1 t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3096 (let ((colon 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3097 b e )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3098 (while
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3099 (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3100 (< colon 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3101 (verilog-re-search-backward "\\(\\[\\)\\|\\(\\]\\)\\|\\(:\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3102 lim1 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3103 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3104 ((match-end 1) ;; [
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3105 (setq colon (1+ colon))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3106 (if (>= colon 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3107 (error "%s: unbalanced [" (verilog-point-text))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3108 ((match-end 2) ;; ]
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3109 (setq colon (1- colon)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3110
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3111 ((match-end 3) ;; :
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3112 (setq colon (1+ colon)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3113 ;; Skip back to beginning of case item
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3114 (skip-chars-backward "\t ")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3115 (verilog-skip-backward-comment-or-string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3116 (setq e (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3117 (setq b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3118 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3119 (if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3120 (verilog-re-search-backward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3121 "\\<\\(case[zx]?\\)\\>\\|;\\|\\<end\\>" nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3122 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3123 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3124 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3125 (goto-char (match-end 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3126 (verilog-forward-ws&directives)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3127 (if (looking-at "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3128 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3129 (forward-sexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3130 (verilog-forward-ws&directives)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3131 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3132 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3133 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3134 (verilog-forward-ws&directives)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3135 (point))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3136 (error "Malformed case item"))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3137 (setq str (buffer-substring b e))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3138 (if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3139 (setq e
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3140 (string-match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3141 "[ \t]*\\(\\(\n\\)\\|\\(//\\)\\|\\(/\\*\\)\\)" str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3142 (setq str (concat (substring str 0 e) "...")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3143 str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3144 'nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3145
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3146
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3147 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3148 ;; Other functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3149 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3150
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3151 (defun verilog-kill-existing-comment ()
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3152 "Kill auto comment on this line."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3153 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3154 (let* (
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3155 (e (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3156 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3157 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3158 (b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3159 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3160 (search-forward "//" e t))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3161 (if b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3162 (delete-region (- b 2) e)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3163
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3164 (defconst verilog-directive-nest-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3165 (concat "\\(`else\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3166 "\\(`endif\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3167 "\\(`if\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3168 "\\(`ifdef\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3169 "\\(`ifndef\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3170 (defun verilog-set-auto-endcomments (indent-str kill-existing-comment)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3171 "Add ending comment with given INDENT-STR.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3172 With KILL-EXISTING-COMMENT, remove what was there before.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3173 Insert `// case: 7 ' or `// NAME ' on this line if appropriate.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3174 Insert `// case expr ' if this line ends a case block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3175 Insert `// ifdef FOO ' if this line ends code conditional on FOO.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3176 Insert `// NAME ' if this line ends a function, task, module,
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3177 primitive or interface named NAME."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3178 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3179 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3180 (; Comment close preprocessor directives
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3181 (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3182 (looking-at "\\(`endif\\)\\|\\(`else\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3183 (or kill-existing-comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3184 (not (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3185 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3186 (search-backward "//" (verilog-get-beg-of-line) t)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3187 (let ((nest 1) b e
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3188 m
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3189 (else (if (match-end 2) "!" " ")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3190 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3191 (if kill-existing-comment
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3192 (verilog-kill-existing-comment))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3193 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3194 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3195 (backward-sexp 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3196 (while (and (/= nest 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3197 (verilog-re-search-backward verilog-directive-nest-re nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3198 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3199 ((match-end 1) ; `else
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3200 (if (= nest 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3201 (setq else "!")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3202 ((match-end 2) ; `endif
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3203 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3204 ((match-end 3) ; `if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3205 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3206 ((match-end 4) ; `ifdef
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3207 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3208 ((match-end 5) ; `ifndef
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3209 (setq nest (1- nest)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3210 (if (match-end 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3211 (setq
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3212 m (buffer-substring
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3213 (match-beginning 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3214 (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3215 b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3216 (skip-chars-forward "^ \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3217 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3218 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3219 e (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3220 (skip-chars-forward "a-zA-Z0-9_")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3221 (point)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3222 (if b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3223 (if (> (count-lines (point) b) verilog-minimum-comment-distance)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3224 (insert (concat " // " else m " " (buffer-substring b e))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3225 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3226 (insert " // unmatched `else or `endif")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3227 (ding 't)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3228
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3229 (; Comment close case/class/function/task/module and named block
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3230 (and (looking-at "\\<end")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3231 (or kill-existing-comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3232 (not (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3233 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3234 (search-backward "//" (verilog-get-beg-of-line) t)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3235 (let ((type (car indent-str)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3236 (unless (eq type 'declaration)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3237 (unless (looking-at (concat "\\(" verilog-end-block-ordered-re "\\)[ \t]*:")) ;; ignore named ends
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3238 (if (looking-at verilog-end-block-ordered-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3239 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3240 (;- This is a case block; search back for the start of this case
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3241 (match-end 1) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3242
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3243 (let ((err 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3244 (str "UNMATCHED!!"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3245 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3246 (verilog-leap-to-head)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3247 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3248 ((looking-at "\\<randcase\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3249 (setq str "randcase")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3250 (setq err nil))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3251 ((match-end 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3252 (goto-char (match-end 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3253 (if nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3254 (let (s f)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3255 (setq s (match-beginning 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3256 (setq f (progn (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3257 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3258 (setq str (buffer-substring s f)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3259 (setq err nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3260 (setq str (concat (buffer-substring (match-beginning 1) (match-end 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3261 " "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3262 (verilog-get-expr))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3263 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3264 (if kill-existing-comment
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3265 (verilog-kill-existing-comment))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3266 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3267 (insert (concat " // " str ))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3268 (if err (ding 't))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3269
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3270 (;- This is a begin..end block
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3271 (match-end 2) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3272 (let ((str " // UNMATCHED !!")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3273 (err 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3274 (here (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3275 there
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3276 cntx)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3277 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3278 (verilog-leap-to-head)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3279 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3280 (if (not (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3281 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3282 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3283 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3284 (if kill-existing-comment
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3285 (verilog-kill-existing-comment))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3286 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3287 (insert str)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3288 (ding 't))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3289 (let ((lim
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3290 (save-excursion (verilog-beg-of-defun) (point)))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3291 (here (point)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3292 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3293 (;-- handle named block differently
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3294 (looking-at verilog-named-block-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3295 (search-forward ":")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3296 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3297 (setq str (verilog-get-expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3298 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3299 (setq str (concat " // block: " str )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3300
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3301 ((verilog-in-case-region-p) ;-- handle case item differently
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3302 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3303 (setq str (verilog-backward-case-item lim))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3304 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3305 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3306 (setq str (concat " // case: " str )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3307
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3308 (;- try to find "reason" for this begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3309 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3310 (;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3311 (eq here (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3312 (verilog-backward-token)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3313 (verilog-beg-of-statement-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3314 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3315 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3316 (setq str ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3317 ((looking-at verilog-endcomment-reason-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3318 (setq there (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3319 (setq cntx (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3320 (buffer-substring (match-beginning 0) (match-end 0)) " "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3321 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3322 (;- begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3323 (match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3324 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3325 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3326 (if (and (verilog-continued-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3327 (looking-at "\\<repeat\\>\\|\\<wait\\>\\|\\<always\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3328 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3329 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3330 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3331 (setq str
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3332 (concat " // "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3333 (buffer-substring (match-beginning 0) (match-end 0)) " "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3334 (verilog-get-expr))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3335 (setq str ""))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3336
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3337 (;- else
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3338 (match-end 4)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3339 (let ((nest 0)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3340 ( reg "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)\\|\\(\\<if\\>\\)"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3341 (catch 'skip
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3342 (while (verilog-re-search-backward reg nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3343 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3344 ((match-end 1) ; begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3345 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3346 ((match-end 2) ; end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3347 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3348 ((match-end 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3349 (if (= 0 nest)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3350 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3351 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3352 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3353 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3354 (setq str (verilog-get-expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3355 (setq str (concat " // else: !if" str ))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3356 (throw 'skip 1)))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3357
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3358 (;- end else
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3359 (match-end 5)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3360 (goto-char there)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3361 (let ((nest 0)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3362 (reg "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)\\|\\(\\<if\\>\\)"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3363 (catch 'skip
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3364 (while (verilog-re-search-backward reg nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3365 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3366 ((match-end 1) ; begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3367 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3368 ((match-end 2) ; end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3369 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3370 ((match-end 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3371 (if (= 0 nest)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3372 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3373 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3374 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3375 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3376 (setq str (verilog-get-expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3377 (setq str (concat " // else: !if" str ))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3378 (throw 'skip 1)))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3379
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3380 (;- task/function/initial et cetera
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3381 t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3382 (match-end 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3383 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3384 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3385 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3386 (setq str (verilog-get-expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3387 (setq str (concat " // " cntx str )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3388
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3389 (;-- otherwise...
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3390 (setq str " // auto-endcomment confused "))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3391
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3392 ((and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3393 (verilog-in-case-region-p) ;-- handle case item differently
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3394 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3395 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3396 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3397 (setq str (verilog-backward-case-item lim))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3398 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3399 (setq str (concat " // case: " str )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3400
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3401 ((verilog-in-fork-region-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3402 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3403 (setq str " // fork branch" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3404
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3405 ((looking-at "\\<end\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3406 ;; HERE
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3407 (forward-word 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3408 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3409 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3410 (setq str (verilog-get-expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3411 (setq str (concat " // " cntx str )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3412
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3413 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3414 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3415 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3416 (if kill-existing-comment
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3417 (verilog-kill-existing-comment))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3418 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3419 (if (or err
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3420 (> (count-lines here there) verilog-minimum-comment-distance))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3421 (insert str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3422 (if err (ding 't))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3423 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3424 (;- this is endclass, which can be nested
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3425 (match-end 11) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3426 ;;(goto-char there)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3427 (let ((nest 0)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3428 (reg "\\<\\(class\\)\\|\\(endclass\\)\\|\\(package\\|primitive\\|\\(macro\\)?module\\)\\>")
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3429 string)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3430 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3431 (catch 'skip
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3432 (while (verilog-re-search-backward reg nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3433 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3434 ((match-end 3) ; endclass
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3435 (ding 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3436 (setq string "unmatched endclass")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3437 (throw 'skip 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3438
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3439 ((match-end 2) ; endclass
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3440 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3441
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3442 ((match-end 1) ; class
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3443 (setq nest (1- nest))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3444 (if (< nest 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3445 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3446 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3447 (let (b e)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3448 (setq b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3449 (skip-chars-forward "^ \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3450 (verilog-forward-ws&directives)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3451 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3452 e (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3453 (skip-chars-forward "a-zA-Z0-9_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3454 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3455 (setq string (buffer-substring b e)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3456 (throw 'skip 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3457 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3458 (end-of-line)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3459 (insert (concat " // " string ))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3460
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3461 (;- this is end{function,generate,task,module,primitive,table,generate}
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3462 ;- which can not be nested.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3463 t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3464 (let (string reg (width nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3465 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3466 (if kill-existing-comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3467 (save-match-data
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3468 (verilog-kill-existing-comment)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3469 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3470 (backward-sexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3471 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3472 ((match-end 5) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3473 (setq reg "\\(\\<function\\>\\)\\|\\(\\<\\(endfunction\\|task\\|\\(macro\\)?module\\|primitive\\)\\>\\)")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3474 (setq width "\\(\\s-*\\(\\[[^]]*\\]\\)\\|\\(real\\(time\\)?\\)\\|\\(integer\\)\\|\\(time\\)\\)?"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3475 ((match-end 6) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3476 (setq reg "\\(\\<task\\>\\)\\|\\(\\<\\(endtask\\|function\\|\\(macro\\)?module\\|primitive\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3477 ((match-end 7) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3478 (setq reg "\\(\\<\\(macro\\)?module\\>\\)\\|\\<endmodule\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3479 ((match-end 8) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3480 (setq reg "\\(\\<primitive\\>\\)\\|\\(\\<\\(endprimitive\\|package\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3481 ((match-end 9) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3482 (setq reg "\\(\\<interface\\>\\)\\|\\(\\<\\(endinterface\\|package\\|primitive\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3483 ((match-end 10) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3484 (setq reg "\\(\\<package\\>\\)\\|\\(\\<\\(endpackage\\|primitive\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3485 ((match-end 11) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3486 (setq reg "\\(\\<class\\>\\)\\|\\(\\<\\(endclass\\|primitive\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3487 ((match-end 12) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3488 (setq reg "\\(\\<covergroup\\>\\)\\|\\(\\<\\(endcovergroup\\|primitive\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3489 ((match-end 13) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3490 (setq reg "\\(\\<program\\>\\)\\|\\(\\<\\(endprogram\\|primitive\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3491 ((match-end 14) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3492 (setq reg "\\(\\<\\(rand\\)?sequence\\>\\)\\|\\(\\<\\(endsequence\\|primitive\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3493 ((match-end 15) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3494 (setq reg "\\(\\<clocking\\>\\)\\|\\<endclocking\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3495
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3496 (t (error "Problem in verilog-set-auto-endcomments")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3497 (let (b e)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3498 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3499 (verilog-re-search-backward reg nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3500 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3501 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3502 (setq b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3503 (skip-chars-forward "^ \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3504 (verilog-forward-ws&directives)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3505 (if (and width (looking-at width))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3506 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3507 (goto-char (match-end 0))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3508 (verilog-forward-ws&directives)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3509 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3510 e (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3511 (skip-chars-forward "a-zA-Z0-9_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3512 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3513 (setq string (buffer-substring b e)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3514 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3515 (ding 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3516 (setq string "unmatched end(function|task|module|primitive|interface|package|class|clocking)")))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3517 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3518 (insert (concat " // " string )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3519 ))))))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3520
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3521 (defun verilog-get-expr()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3522 "Grab expression at point, e.g, case ( a | b & (c ^d))."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3523 (let* ((b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3524 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3525 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3526 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3527 (e (let ((par 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3528 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3529 ((looking-at "@")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3530 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3531 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3532 (if (looking-at "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3533 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3534 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3535 (while (and (/= par 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3536 (verilog-re-search-forward "\\((\\)\\|\\()\\)" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3537 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3538 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3539 (setq par (1+ par)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3540 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3541 (setq par (1- par)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3542 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3543 ((looking-at "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3544 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3545 (while (and (/= par 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3546 (verilog-re-search-forward "\\((\\)\\|\\()\\)" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3547 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3548 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3549 (setq par (1+ par)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3550 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3551 (setq par (1- par)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3552 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3553 ((looking-at "\\[")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3554 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3555 (while (and (/= par 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3556 (verilog-re-search-forward "\\(\\[\\)\\|\\(\\]\\)" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3557 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3558 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3559 (setq par (1+ par)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3560 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3561 (setq par (1- par)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3562 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3563 (skip-chars-forward "^ \t\n\f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3564 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3565 ((looking-at "/[/\\*]")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3566 b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3567 ('t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3568 (skip-chars-forward "^: \t\n\f")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3569 (point)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3570 (str (buffer-substring b e)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3571 (if (setq e (string-match "[ \t]*\\(\\(\n\\)\\|\\(//\\)\\|\\(/\\*\\)\\)" str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3572 (setq str (concat (substring str 0 e) "...")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3573 str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3574
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3575 (defun verilog-expand-vector ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3576 "Take a signal vector on the current line and expand it to multiple lines.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3577 Useful for creating tri's and other expanded fields."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3578 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3579 (verilog-expand-vector-internal "[" "]"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3580
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3581 (defun verilog-expand-vector-internal (bra ket)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3582 "Given BRA, the start brace and KET, the end brace, expand one line into many lines."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3583 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3584 (forward-line 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3585 (let ((signal-string (buffer-substring (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3586 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3587 (end-of-line) (point)))))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3588 (if (string-match
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3589 (concat "\\(.*\\)"
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3590 (regexp-quote bra)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3591 "\\([0-9]*\\)\\(:[0-9]*\\|\\)\\(::[0-9---]*\\|\\)"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3592 (regexp-quote ket)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3593 "\\(.*\\)$") signal-string)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3594 (let* ((sig-head (match-string 1 signal-string))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3595 (vec-start (string-to-number (match-string 2 signal-string)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3596 (vec-end (if (= (match-beginning 3) (match-end 3))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3597 vec-start
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3598 (string-to-number
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3599 (substring signal-string (1+ (match-beginning 3))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3600 (match-end 3)))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3601 (vec-range
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3602 (if (= (match-beginning 4) (match-end 4))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3603 1
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3604 (string-to-number
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3605 (substring signal-string (+ 2 (match-beginning 4))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3606 (match-end 4)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3607 (sig-tail (match-string 5 signal-string))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3608 vec)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3609 ;; Decode vectors
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3610 (setq vec nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3611 (if (< vec-range 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3612 (let ((tmp vec-start))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3613 (setq vec-start vec-end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3614 vec-end tmp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3615 vec-range (- vec-range))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3616 (if (< vec-end vec-start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3617 (while (<= vec-end vec-start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3618 (setq vec (append vec (list vec-start)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3619 (setq vec-start (- vec-start vec-range)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3620 (while (<= vec-start vec-end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3621 (setq vec (append vec (list vec-start)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3622 (setq vec-start (+ vec-start vec-range))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3623 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3624 ;; Delete current line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3625 (delete-region (point) (progn (forward-line 0) (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3626 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3627 ;; Expand vector
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3628 (while vec
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3629 (insert (concat sig-head bra
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3630 (int-to-string (car vec)) ket sig-tail "\n"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3631 (setq vec (cdr vec)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3632 (delete-char -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3633 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3634 )))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3635
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3636 (defun verilog-strip-comments ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3637 "Strip all comments from the Verilog code."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3638 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3639 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3640 (while (re-search-forward "//" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3641 (if (verilog-within-string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3642 (re-search-forward "\"" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3643 (if (verilog-in-star-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3644 (re-search-forward "\*/" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3645 (let ((bpt (- (point) 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3646 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3647 (delete-region bpt (point))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3648 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3649 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3650 (while (re-search-forward "/\\*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3651 (if (verilog-within-string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3652 (re-search-forward "\"" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3653 (let ((bpt (- (point) 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3654 (re-search-forward "\\*/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3655 (delete-region bpt (point))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3656
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3657 (defun verilog-one-line ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3658 "Convert structural Verilog instances to occupy one line."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3659 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3660 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3661 (while (re-search-forward "\\([^;]\\)[ \t]*\n[ \t]*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3662 (replace-match "\\1 " nil nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3663
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3664 (defun verilog-linter-name ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3665 "Return name of linter, either surelint or verilint."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3666 (let ((compile-word1 (verilog-string-replace-matches "\\s .*$" "" nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3667 compile-command))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3668 (lint-word1 (verilog-string-replace-matches "\\s .*$" "" nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3669 verilog-linter)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3670 (cond ((equal compile-word1 "surelint") `surelint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3671 ((equal compile-word1 "verilint") `verilint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3672 ((equal lint-word1 "surelint") `surelint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3673 ((equal lint-word1 "verilint") `verilint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3674 (t `surelint)))) ;; back compatibility
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3675
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3676 (defun verilog-lint-off ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3677 "Convert a Verilog linter warning line into a disable statement.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3678 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3679 pci_bfm_null.v, line 46: Unused input: pci_rst_
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3680 becomes a comment for the appropriate tool.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3681
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3682 The first word of the `compile-command' or `verilog-linter'
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3683 variables is used to determine which product is being used.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3684
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3685 See \\[verilog-surelint-off] and \\[verilog-verilint-off]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3686 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3687 (let ((linter (verilog-linter-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3688 (cond ((equal linter `surelint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3689 (verilog-surelint-off))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3690 ((equal linter `verilint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3691 (verilog-verilint-off))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3692 (t (error "Linter name not set")))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3693
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3694 (defvar compilation-last-buffer)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3695
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3696 (defun verilog-surelint-off ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3697 "Convert a SureLint warning line into a disable statement.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3698 Run from Verilog source window; assumes there is a *compile* buffer
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3699 with point set appropriately.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3700
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3701 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3702 WARNING [STD-UDDONX]: xx.v, line 8: output out is never assigned.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3703 becomes:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3704 // surefire lint_line_off UDDONX"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3705 (interactive)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3706 (let ((buff (if (boundp 'next-error-last-buffer)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3707 next-error-last-buffer
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3708 compilation-last-buffer)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3709 (when (buffer-live-p buff)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3710 ;; FIXME with-current-buffer?
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3711 (save-excursion
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3712 (switch-to-buffer buff)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3713 (beginning-of-line)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3714 (when
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3715 (looking-at "\\(INFO\\|WARNING\\|ERROR\\) \\[[^-]+-\\([^]]+\\)\\]: \\([^,]+\\), line \\([0-9]+\\): \\(.*\\)$")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3716 (let* ((code (match-string 2))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3717 (file (match-string 3))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3718 (line (match-string 4))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3719 (buffer (get-file-buffer file))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3720 dir filename)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3721 (unless buffer
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3722 (progn
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3723 (setq buffer
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3724 (and (file-exists-p file)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3725 (find-file-noselect file)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3726 (or buffer
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3727 (let* ((pop-up-windows t))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3728 (let ((name (expand-file-name
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3729 (read-file-name
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3730 (format "Find this error in: (default %s) "
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3731 file)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3732 dir file t))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3733 (if (file-directory-p name)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3734 (setq name (expand-file-name filename name)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3735 (setq buffer
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3736 (and (file-exists-p name)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3737 (find-file-noselect name))))))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3738 (switch-to-buffer buffer)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3739 (goto-line (string-to-number line))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3740 (end-of-line)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3741 (catch 'already
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3742 (cond
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3743 ((verilog-in-slash-comment-p)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3744 (re-search-backward "//")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3745 (cond
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3746 ((looking-at "// surefire lint_off_line ")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3747 (goto-char (match-end 0))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3748 (let ((lim (save-excursion (end-of-line) (point))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3749 (if (re-search-forward code lim 'move)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3750 (throw 'already t)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3751 (insert (concat " " code)))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3752 (t
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3753 )))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3754 ((verilog-in-star-comment-p)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3755 (re-search-backward "/\*")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3756 (insert (format " // surefire lint_off_line %6s" code )))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3757 (t
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3758 (insert (format " // surefire lint_off_line %6s" code ))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3759 )))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3760
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3761 (defun verilog-verilint-off ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3762 "Convert a Verilint warning line into a disable statement.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3763
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3764 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3765 (W240) pci_bfm_null.v, line 46: Unused input: pci_rst_
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3766 becomes:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3767 //Verilint 240 off // WARNING: Unused input"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3768 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3769 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3770 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3771 (when (looking-at "\\(.*\\)([WE]\\([0-9A-Z]+\\)).*,\\s +line\\s +[0-9]+:\\s +\\([^:\n]+\\):?.*$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3772 (replace-match (format
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3773 ;; %3s makes numbers 1-999 line up nicely
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3774 "\\1//Verilint %3s off // WARNING: \\3"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3775 (match-string 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3776 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3777 (verilog-indent-line))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3778
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3779 (defun verilog-auto-save-compile ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3780 "Update automatics with \\[verilog-auto], save the buffer, and compile."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3781 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3782 (verilog-auto) ; Always do it for safety
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3783 (save-buffer)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3784 (compile compile-command))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3785
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3786
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3787
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3788 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3789 ;; Batch
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3790 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3791
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3792 (defmacro verilog-batch-error-wrapper (&rest body)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3793 "Execute BODY and add error prefix to any errors found.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3794 This lets programs calling batch mode to easily extract error messages."
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
3795 `(condition-case err
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
3796 (progn ,@body)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
3797 (error
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
3798 (error "%%Error: %s%s" (error-message-string err)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
3799 (if (featurep 'xemacs) "\n" ""))))) ;; xemacs forgets to add a newline
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3800
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3801 (defun verilog-batch-execute-func (funref)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3802 "Internal processing of a batch command, running FUNREF on all command arguments."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3803 (verilog-batch-error-wrapper
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3804 ;; General globals needed
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3805 (setq make-backup-files nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3806 (setq-default make-backup-files nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3807 (setq enable-local-variables t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3808 (setq enable-local-eval t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3809 ;; Make sure any sub-files we read get proper mode
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3810 (setq default-major-mode `verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3811 ;; Ditto files already read in
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3812 (mapc (lambda (buf)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3813 (when (buffer-file-name buf)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3814 (save-excursion
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3815 (set-buffer buf)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3816 (verilog-mode))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3817 (buffer-list))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3818 ;; Process the files
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3819 (mapcar '(lambda (buf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3820 (when (buffer-file-name buf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3821 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3822 (if (not (file-exists-p (buffer-file-name buf)))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3823 (error
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3824 (concat "File not found: " (buffer-file-name buf))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3825 (message (concat "Processing " (buffer-file-name buf)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3826 (set-buffer buf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3827 (funcall funref)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3828 (save-buffer))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3829 (buffer-list))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3830
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3831 (defun verilog-batch-auto ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3832 "For use with --batch, perform automatic expansions as a stand-alone tool.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3833 This sets up the appropriate Verilog mode environment, updates automatics
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3834 with \\[verilog-auto] on all command-line files, and saves the buffers.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3835 For proper results, multiple filenames need to be passed on the command
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3836 line in bottom-up order."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3837 (unless noninteractive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3838 (error "Use verilog-batch-auto only with --batch")) ;; Otherwise we'd mess up buffer modes
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3839 (verilog-batch-execute-func `verilog-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3840
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3841 (defun verilog-batch-delete-auto ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3842 "For use with --batch, perform automatic deletion as a stand-alone tool.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3843 This sets up the appropriate Verilog mode environment, deletes automatics
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3844 with \\[verilog-delete-auto] on all command-line files, and saves the buffers."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3845 (unless noninteractive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3846 (error "Use verilog-batch-delete-auto only with --batch")) ;; Otherwise we'd mess up buffer modes
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3847 (verilog-batch-execute-func `verilog-delete-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3848
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3849 (defun verilog-batch-inject-auto ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3850 "For use with --batch, perform automatic injection as a stand-alone tool.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3851 This sets up the appropriate Verilog mode environment, injects new automatics
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3852 with \\[verilog-inject-auto] on all command-line files, and saves the buffers.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3853 For proper results, multiple filenames need to be passed on the command
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3854 line in bottom-up order."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3855 (unless noninteractive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3856 (error "Use verilog-batch-inject-auto only with --batch")) ;; Otherwise we'd mess up buffer modes
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3857 (verilog-batch-execute-func `verilog-inject-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3858
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3859 (defun verilog-batch-indent ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3860 "For use with --batch, reindent an a entire file as a stand-alone tool.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3861 This sets up the appropriate Verilog mode environment, calls
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3862 \\[verilog-indent-buffer] on all command-line files, and saves the buffers."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3863 (unless noninteractive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3864 (error "Use verilog-batch-indent only with --batch")) ;; Otherwise we'd mess up buffer modes
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3865 (verilog-batch-execute-func `verilog-indent-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3866
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3867
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3868 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3869 ;; Indentation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3870 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3871 (defconst verilog-indent-alist
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3872 '((block . (+ ind verilog-indent-level))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3873 (case . (+ ind verilog-case-indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3874 (cparenexp . (+ ind verilog-indent-level))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3875 (cexp . (+ ind verilog-cexp-indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3876 (defun . verilog-indent-level-module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3877 (declaration . verilog-indent-level-declaration)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3878 (directive . (verilog-calculate-indent-directive))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3879 (tf . verilog-indent-level)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3880 (behavioral . (+ verilog-indent-level-behavioral verilog-indent-level-module))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3881 (statement . ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3882 (cpp . 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3883 (comment . (verilog-comment-indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3884 (unknown . 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3885 (string . 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3886
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3887 (defun verilog-continued-line-1 (lim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3888 "Return true if this is a continued line.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3889 Set point to where line starts. Limit search to point LIM."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3890 (let ((continued 't))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3891 (if (eq 0 (forward-line -1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3892 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3893 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3894 (verilog-backward-ws&directives lim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3895 (if (bobp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3896 (setq continued nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3897 (setq continued (verilog-backward-token))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3898 (setq continued nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3899 continued))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3900
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3901 (defun verilog-calculate-indent ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3902 "Calculate the indent of the current Verilog line.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3903 Examine previous lines. Once a line is found that is definitive as to the
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3904 type of the current line, return that lines' indent level and its type.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3905 Return a list of two elements: (INDENT-TYPE INDENT-LEVEL)."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3906 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3907 (let* ((starting_position (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3908 (par 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3909 (begin (looking-at "[ \t]*begin\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3910 (lim (save-excursion (verilog-re-search-backward "\\(\\<begin\\>\\)\\|\\(\\<module\\>\\)" nil t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3911 (type (catch 'nesting
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3912 ;; Keep working backwards until we can figure out
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3913 ;; what type of statement this is.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3914 ;; Basically we need to figure out
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3915 ;; 1) if this is a continuation of the previous line;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3916 ;; 2) are we in a block scope (begin..end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3917
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3918 ;; if we are in a comment, done.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3919 (if (verilog-in-star-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3920 (throw 'nesting 'comment))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3921
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3922 ;; if we have a directive, done.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3923 (if (save-excursion (beginning-of-line) (looking-at verilog-directive-re-1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3924 (throw 'nesting 'directive))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3925
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3926 ;; unless we are in the newfangled coverpoint or constraint blocks
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3927 ;; if we are in a parenthesized list, and the user likes to indent these, return.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3928 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3929 verilog-indent-lists
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3930 (not (verilog-in-coverage))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3931 (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3932 (progn (setq par 1)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3933 (throw 'nesting 'block)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3934
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3935 ;; See if we are continuing a previous line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3936 (while t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3937 ;; trap out if we crawl off the top of the buffer
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3938 (if (bobp) (throw 'nesting 'cpp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3939
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3940 (if (verilog-continued-line-1 lim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3941 (let ((sp (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3942 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3943 (not (looking-at verilog-complete-reg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3944 (verilog-continued-line-1 lim))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3945 (progn (goto-char sp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3946 (throw 'nesting 'cexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3947
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3948 (goto-char sp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3949
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3950 (if (and begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3951 (not verilog-indent-begin-after-if)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3952 (looking-at verilog-no-indent-begin-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3953 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3954 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3955 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3956 (throw 'nesting 'statement))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3957 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3958 (throw 'nesting 'cexp))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3959 ;; not a continued line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3960 (goto-char starting_position))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3961
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3962 (if (looking-at "\\<else\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3963 ;; search back for governing if, striding across begin..end pairs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3964 ;; appropriately
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3965 (let ((elsec 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3966 (while (verilog-re-search-backward verilog-ends-re nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3967 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3968 ((match-end 1) ; else, we're in deep
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3969 (setq elsec (1+ elsec)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3970 ((match-end 2) ; if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3971 (setq elsec (1- elsec))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3972 (if (= 0 elsec)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3973 (if verilog-align-ifelse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3974 (throw 'nesting 'statement)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3975 (progn ;; back up to first word on this line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3976 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3977 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3978 (throw 'nesting 'statement)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3979 (t ; endblock
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3980 ; try to leap back to matching outward block by striding across
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3981 ; indent level changing tokens then immediately
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3982 ; previous line governs indentation.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3983 (let (( reg) (nest 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3984 ;; verilog-ends => else|if|end|join(_any|_none|)|endcase|endclass|endtable|endspecify|endfunction|endtask|endgenerate|endgroup
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3985 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3986 ((match-end 3) ; end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3987 ;; Search back for matching begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3988 (setq reg "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3989 ((match-end 4) ; endcase
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3990 ;; Search back for matching case
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3991 (setq reg "\\(\\<randcase\\>\\|\\<case[xz]?\\>[^:]\\)\\|\\(\\<endcase\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3992 ((match-end 5) ; endfunction
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3993 ;; Search back for matching function
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3994 (setq reg "\\(\\<function\\>\\)\\|\\(\\<endfunction\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3995 ((match-end 6) ; endtask
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3996 ;; Search back for matching task
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3997 (setq reg "\\(\\<task\\>\\)\\|\\(\\<endtask\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3998 ((match-end 7) ; endspecify
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3999 ;; Search back for matching specify
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4000 (setq reg "\\(\\<specify\\>\\)\\|\\(\\<endspecify\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4001 ((match-end 8) ; endtable
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4002 ;; Search back for matching table
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4003 (setq reg "\\(\\<table\\>\\)\\|\\(\\<endtable\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4004 ((match-end 9) ; endgenerate
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4005 ;; Search back for matching generate
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4006 (setq reg "\\(\\<generate\\>\\)\\|\\(\\<endgenerate\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4007 ((match-end 10) ; joins
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4008 ;; Search back for matching fork
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4009 (setq reg "\\(\\<fork\\>\\)\\|\\(\\<join\\(_any\\|none\\)?\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4010 ((match-end 11) ; class
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4011 ;; Search back for matching class
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4012 (setq reg "\\(\\<class\\>\\)\\|\\(\\<endclass\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4013 ((match-end 12) ; covergroup
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4014 ;; Search back for matching covergroup
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4015 (setq reg "\\(\\<covergroup\\>\\)\\|\\(\\<endgroup\\>\\)" )))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4016 (catch 'skip
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4017 (while (verilog-re-search-backward reg nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4018 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4019 ((match-end 1) ; begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4020 (setq nest (1- nest))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4021 (if (= 0 nest)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4022 (throw 'skip 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4023 ((match-end 2) ; end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4024 (setq nest (1+ nest)))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4025 )))))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4026 (throw 'nesting (verilog-calc-1)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4027 );; catch nesting
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4028 );; type
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4029 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4030 ;; Return type of block and indent level.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4031 (if (not type)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4032 (setq type 'cpp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4033 (if (> par 0) ; Unclosed Parenthesis
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4034 (list 'cparenexp par)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4035 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4036 ((eq type 'case)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4037 (list type (verilog-case-indent-level)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4038 ((eq type 'statement)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4039 (list type (current-column)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4040 ((eq type 'defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4041 (list type 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4042 (t
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4043 (list type (verilog-current-indent-level))))))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4044
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4045 (defun verilog-wai ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4046 "Show matching nesting block for debugging."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4047 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4048 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4049 (let ((nesting (verilog-calc-1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4050 (message "You are at nesting %s" nesting))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4051
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4052 (defun verilog-calc-1 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4053 (catch 'nesting
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4054 (while (verilog-re-search-backward (concat "\\({\\|}\\|" verilog-indent-re "\\)") nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4055 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4056 ((equal (char-after) ?\{)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4057 (if (verilog-at-constraint-p)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4058 (throw 'nesting 'block)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4059 ((equal (char-after) ?\})
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4060
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4061 (let ((there (verilog-at-close-constraint-p)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4062 (if there (goto-char there))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4063
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4064 ((looking-at verilog-beg-block-re-ordered)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4065 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4066 ((match-end 2) ; *sigh* could be "unique case" or "priority casex"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4067 (let ((here (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4068 (verilog-beg-of-statement)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4069 (if (looking-at verilog-extended-case-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4070 (throw 'nesting 'case)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4071 (goto-char here)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4072 (throw 'nesting 'case))
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
4073
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
4074 ((match-end 4) ; *sigh* could be "disable fork"
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
4075 (let ((here (point)))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
4076 (verilog-beg-of-statement)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
4077 (if (looking-at verilog-disable-fork-re)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
4078 t ; is disable fork, this is a normal statement
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
4079 (progn ; or is fork, starts a new block
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
4080 (goto-char here)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
4081 (throw 'nesting 'block)))))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
4082
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4083
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4084 ;; need to consider typedef struct here...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4085 ((looking-at "\\<class\\|struct\\|function\\|task\\|property\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4086 ; *sigh* These words have an optional prefix:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4087 ; extern {virtual|protected}? function a();
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4088 ; assert property (p_1);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4089 ; typedef class foo;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4090 ; and we don't want to confuse this with
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4091 ; function a();
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4092 ; property
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4093 ; ...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4094 ; endfunction
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4095 (let ((here (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4096 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4097 (verilog-beg-of-statement)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4098 (if (= (point) here)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4099 (throw 'nesting 'block)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4100 (t (throw 'nesting 'block))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4101
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4102 ((looking-at verilog-end-block-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4103 (verilog-leap-to-head)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4104 (if (verilog-in-case-region-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4105 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4106 (verilog-leap-to-case-head)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4107 (if (looking-at verilog-case-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4108 (throw 'nesting 'case)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4109
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4110 ((looking-at (if (verilog-in-generate-region-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4111 verilog-defun-level-not-generate-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4112 verilog-defun-level-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4113 (throw 'nesting 'defun))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4114
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4115 ((looking-at verilog-cpp-level-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4116 (throw 'nesting 'cpp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4117
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4118 ((bobp)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4119 (throw 'nesting 'cpp))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4120 (throw 'nesting 'cpp)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4121
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4122 (defun verilog-calculate-indent-directive ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4123 "Return indentation level for directive.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4124 For speed, the searcher looks at the last directive, not the indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4125 of the appropriate enclosing block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4126 (let ((base -1) ;; Indent of the line that determines our indentation
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4127 (ind 0)) ;; Relative offset caused by other directives (like `endif on same line as `else)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4128 ;; Start at current location, scan back for another directive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4129
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4130 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4131 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4132 (while (and (< base 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4133 (verilog-re-search-backward verilog-directive-re nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4134 (cond ((save-excursion (skip-chars-backward " \t") (bolp))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4135 (setq base (current-indentation))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4136 (cond ((and (looking-at verilog-directive-end) (< base 0)) ;; Only matters when not at BOL
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4137 (setq ind (- ind verilog-indent-level-directive)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4138 ((and (looking-at verilog-directive-middle) (>= base 0)) ;; Only matters when at BOL
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4139 (setq ind (+ ind verilog-indent-level-directive)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4140 ((looking-at verilog-directive-begin)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4141 (setq ind (+ ind verilog-indent-level-directive)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4142 ;; Adjust indent to starting indent of critical line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4143 (setq ind (max 0 (+ ind base))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4144
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4145 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4146 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4147 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4148 (cond ((or (looking-at verilog-directive-middle)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4149 (looking-at verilog-directive-end))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4150 (setq ind (max 0 (- ind verilog-indent-level-directive))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4151 ind))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4152
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4153 (defun verilog-leap-to-case-head ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4154 (let ((nest 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4155 (while (/= 0 nest)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4156 (verilog-re-search-backward "\\(\\<randcase\\>\\|\\<case[xz]?\\>[^:]\\)\\|\\(\\<endcase\\>\\)" nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4157 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4158 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4159 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4160 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4161 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4162 ((bobp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4163 (ding 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4164 (setq nest 0))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4165
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4166 (defun verilog-leap-to-head ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4167 "Move point to the head of this block.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4168 Jump from end to matching begin, from endcase to matching case, and so on."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4169 (let ((reg nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4170 snest
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4171 (nest 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4172 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4173 ((looking-at "\\<end\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4174 ;; 1: Search back for matching begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4175 (setq reg (concat "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4176 "\\(\\<endcase\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)" )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4177 ((looking-at "\\<endcase\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4178 ;; 2: Search back for matching case
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4179 (setq reg "\\(\\<randcase\\>\\|\\<case[xz]?\\>\\)\\|\\(\\<endcase\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4180 ((looking-at "\\<join\\(_any\\|_none\\)?\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4181 ;; 3: Search back for matching fork
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4182 (setq reg "\\(\\<fork\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4183 ((looking-at "\\<endclass\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4184 ;; 4: Search back for matching class
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4185 (setq reg "\\(\\<class\\>\\)\\|\\(\\<endclass\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4186 ((looking-at "\\<endtable\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4187 ;; 5: Search back for matching table
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4188 (setq reg "\\(\\<table\\>\\)\\|\\(\\<endtable\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4189 ((looking-at "\\<endspecify\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4190 ;; 6: Search back for matching specify
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4191 (setq reg "\\(\\<specify\\>\\)\\|\\(\\<endspecify\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4192 ((looking-at "\\<endfunction\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4193 ;; 7: Search back for matching function
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4194 (setq reg "\\(\\<function\\>\\)\\|\\(\\<endfunction\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4195 ((looking-at "\\<endgenerate\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4196 ;; 8: Search back for matching generate
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4197 (setq reg "\\(\\<generate\\>\\)\\|\\(\\<endgenerate\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4198 ((looking-at "\\<endtask\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4199 ;; 9: Search back for matching task
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4200 (setq reg "\\(\\<task\\>\\)\\|\\(\\<endtask\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4201 ((looking-at "\\<endgroup\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4202 ;; 10: Search back for matching covergroup
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4203 (setq reg "\\(\\<covergroup\\>\\)\\|\\(\\<endgroup\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4204 ((looking-at "\\<endproperty\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4205 ;; 11: Search back for matching property
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4206 (setq reg "\\(\\<property\\>\\)\\|\\(\\<endproperty\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4207 ((looking-at "\\<endinterface\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4208 ;; 12: Search back for matching interface
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4209 (setq reg "\\(\\<interface\\>\\)\\|\\(\\<endinterface\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4210 ((looking-at "\\<endsequence\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4211 ;; 12: Search back for matching sequence
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4212 (setq reg "\\(\\<\\(rand\\)?sequence\\>\\)\\|\\(\\<endsequence\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4213 ((looking-at "\\<endclocking\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4214 ;; 12: Search back for matching clocking
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4215 (setq reg "\\(\\<clocking\\)\\|\\(\\<endclocking\\>\\)" )))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4216 (if reg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4217 (catch 'skip
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4218 (let (sreg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4219 (while (verilog-re-search-backward reg nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4220 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4221 ((match-end 1) ; begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4222 (setq nest (1- nest))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4223 (if (= 0 nest)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4224 ;; Now previous line describes syntax
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4225 (throw 'skip 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4226 (if (and snest
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4227 (= snest nest))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4228 (setq reg sreg)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4229 ((match-end 2) ; end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4230 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4231 ((match-end 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4232 ;; endcase, jump to case
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4233 (setq snest nest)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4234 (setq nest (1+ nest))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4235 (setq sreg reg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4236 (setq reg "\\(\\<randcase\\>\\|\\<case[xz]?\\>[^:]\\)\\|\\(\\<endcase\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4237 ((match-end 4)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4238 ;; join, jump to fork
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4239 (setq snest nest)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4240 (setq nest (1+ nest))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4241 (setq sreg reg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4242 (setq reg "\\(\\<fork\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4243 )))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4244
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4245 (defun verilog-continued-line ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4246 "Return true if this is a continued line.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4247 Set point to where line starts."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4248 (let ((continued 't))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4249 (if (eq 0 (forward-line -1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4250 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4251 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4252 (verilog-backward-ws&directives)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4253 (if (bobp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4254 (setq continued nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4255 (while (and continued
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4256 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4257 (skip-chars-backward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4258 (not (bolp))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4259 (setq continued (verilog-backward-token)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4260 (setq continued nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4261 continued))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4262
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4263 (defun verilog-backward-token ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4264 "Step backward token, returning true if we are now at an end of line token."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4265 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4266 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4267 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4268 ((bolp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4269 nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4270 (;-- Anything ending in a ; is complete
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4271 (= (preceding-char) ?\;)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4272 nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4273 (; If a "}" is prefixed by a ";", then this is a complete statement
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4274 ; i.e.: constraint foo { a = b; }
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4275 (= (preceding-char) ?\})
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4276 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4277 (backward-char)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4278 (verilog-at-close-constraint-p)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4279 (;-- constraint foo { a = b }
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4280 ; is a complete statement. *sigh*
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4281 (= (preceding-char) ?\{)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4282 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4283 (backward-char)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4284 (not (verilog-at-constraint-p))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4285 (;-- Could be 'case (foo)' or 'always @(bar)' which is complete
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4286 ; also could be simply '@(foo)'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4287 ; or foo u1 #(a=8)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4288 ; (b, ... which ISN'T complete
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4289 ;;;; Do we need this???
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4290 (= (preceding-char) ?\))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4291 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4292 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4293 (backward-up-list 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4294 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4295 (let ((back (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4296 (forward-word -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4297 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4298 ((looking-at "\\<\\(always\\(_latch\\|_ff\\|_comb\\)?\\|case\\(\\|[xz]\\)\\|for\\(\\|each\\|ever\\)\\|i\\(f\\|nitial\\)\\|repeat\\|while\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4299 (not (looking-at "\\<randcase\\>\\|\\<case[xz]?\\>[^:]")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4300 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4301 (goto-char back)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4302 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4303 ((= (preceding-char) ?\@)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4304 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4305 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4306 (verilog-backward-token)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4307 (not (looking-at "\\<\\(always\\(_latch\\|_ff\\|_comb\\)?\\|initial\\|while\\)\\>"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4308 ((= (preceding-char) ?\#)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4309 (backward-char))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4310 (t t)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4311
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4312 (;-- any of begin|initial|while are complete statements; 'begin : foo' is also complete
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4313 t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4314 (forward-word -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4315 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4316 ((looking-at "\\<else\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4317 t)
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
4318 ((looking-at verilog-behavioral-block-beg-re)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
4319 t)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4320 ((looking-at verilog-indent-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4321 nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4322 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4323 (let
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4324 ((back (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4325 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4326 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4327 ((= (preceding-char) ?\:)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4328 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4329 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4330 (backward-sexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4331 (if (looking-at verilog-nameable-item-re )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4332 nil
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4333 t))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4334 ((= (preceding-char) ?\#)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4335 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4336 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4337 ((= (preceding-char) ?\`)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4338 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4339 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4340
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4341 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4342 (goto-char back)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4343 t))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4344
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4345 (defun verilog-backward-syntactic-ws (&optional bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4346 "Backward skip over syntactic whitespace for Emacs 19.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4347 Optional BOUND limits search."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4348 (save-restriction
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4349 (let* ((bound (or bound (point-min))) (here bound) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4350 (if (< bound (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4351 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4352 (narrow-to-region bound (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4353 (while (/= here (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4354 (setq here (point))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4355 (verilog-skip-backward-comments))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4356 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4357
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4358 (defun verilog-forward-syntactic-ws (&optional bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4359 "Forward skip over syntactic whitespace for Emacs 19.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4360 Optional BOUND limits search."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4361 (save-restriction
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4362 (let* ((bound (or bound (point-max)))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4363 (here bound))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4364 (if (> bound (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4365 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4366 (narrow-to-region (point) bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4367 (while (/= here (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4368 (setq here (point))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4369 (forward-comment (buffer-size))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4370
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4371 (defun verilog-backward-ws&directives (&optional bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4372 "Backward skip over syntactic whitespace and compiler directives for Emacs 19.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4373 Optional BOUND limits search."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4374 (save-restriction
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4375 (let* ((bound (or bound (point-min)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4376 (here bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4377 (p nil) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4378 (if (< bound (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4379 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4380 (let ((state
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4381 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4382 (parse-partial-sexp (point-min) (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4383 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4384 ((nth 7 state) ;; in // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4385 (verilog-re-search-backward "//" nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4386 (skip-chars-backward "/"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4387 ((nth 4 state) ;; in /* */ comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4388 (verilog-re-search-backward "/\*" nil 'move))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4389 (narrow-to-region bound (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4390 (while (/= here (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4391 (setq here (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4392 (verilog-skip-backward-comments)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4393 (setq p
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4394 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4395 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4396 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4397 ((verilog-within-translate-off)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4398 (verilog-back-to-start-translate-off (point-min)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4399 ((looking-at verilog-directive-re-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4400 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4401 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4402 nil))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4403 (if p (goto-char p))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4404
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4405 (defun verilog-forward-ws&directives (&optional bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4406 "Forward skip over syntactic whitespace and compiler directives for Emacs 19.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4407 Optional BOUND limits search."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4408 (save-restriction
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4409 (let* ((bound (or bound (point-max)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4410 (here bound)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4411 jump)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4412 (if (> bound (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4413 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4414 (let ((state
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4415 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4416 (parse-partial-sexp (point-min) (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4417 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4418 ((nth 7 state) ;; in // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4419 (verilog-re-search-forward "//" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4420 ((nth 4 state) ;; in /* */ comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4421 (verilog-re-search-forward "/\*" nil 'move))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4422 (narrow-to-region (point) bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4423 (while (/= here (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4424 (setq here (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4425 jump nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4426 (forward-comment (buffer-size))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4427 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4428 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4429 (if (looking-at verilog-directive-re-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4430 (setq jump t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4431 (if jump
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4432 (beginning-of-line 2))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4433
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4434 (defun verilog-in-comment-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4435 "Return true if in a star or // comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4436 (let ((state
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4437 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4438 (parse-partial-sexp (point-min) (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4439 (or (nth 4 state) (nth 7 state))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4440
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4441 (defun verilog-in-star-comment-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4442 "Return true if in a star comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4443 (let ((state
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4444 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4445 (parse-partial-sexp (point-min) (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4446 (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4447 (nth 4 state) ; t if in a comment of style a // or b /**/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4448 (not
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4449 (nth 7 state) ; t if in a comment of style b /**/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4450 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4451
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4452 (defun verilog-in-slash-comment-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4453 "Return true if in a slash comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4454 (let ((state
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4455 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4456 (parse-partial-sexp (point-min) (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4457 (nth 7 state)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4458
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4459 (defun verilog-in-comment-or-string-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4460 "Return true if in a string or comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4461 (let ((state
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4462 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4463 (parse-partial-sexp (point-min) (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4464 (or (nth 3 state) (nth 4 state) (nth 7 state)))) ; Inside string or comment)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4465
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4466 (defun verilog-in-escaped-name-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4467 "Return true if in an escaped name."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4468 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4469 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4470 (skip-chars-backward "^ \t\n\f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4471 (if (equal (char-after (point) ) ?\\ )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4472 t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4473 nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4474
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4475 (defun verilog-in-paren ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4476 "Return true if in a parenthetical expression."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4477 (let ((state
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4478 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4479 (parse-partial-sexp (point-min) (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4480 (> (nth 0 state) 0 )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4481
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4482 (defun verilog-in-coverage ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4483 "Return true if in a constraint or coverpoint expression."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4484 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4485 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4486 (if (verilog-in-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4487 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4488 (backward-up-list 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4489 (verilog-at-constraint-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4490 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4491 nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4492 (defun verilog-at-close-constraint-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4493 "If at the } that closes a constraint or covergroup, return true."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4494 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4495 (equal (char-after) ?\})
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4496 (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4497
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4498 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4499 (verilog-backward-ws&directives)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4500 (if (equal (char-before) ?\;)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4501 (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4502 nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4503
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4504 (defun verilog-at-constraint-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4505 "If at the { of a constraint or coverpoint definition, return true, moving point to constraint."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4506 (if (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4507 (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4508 (equal (char-after) ?\{)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4509 (forward-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4510 (progn (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4511 (verilog-backward-ws&directives)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4512 (equal (char-before) ?\;))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4513 ;; maybe
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4514 (verilog-re-search-backward "\\<constraint\\|coverpoint\\|cross\\>" nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4515 ;; not
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4516 nil))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4517
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4518 (defun verilog-parenthesis-depth ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4519 "Return non zero if in parenthetical-expression."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4520 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4521 (nth 1 (parse-partial-sexp (point-min) (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4522
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4523
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4524 (defun verilog-skip-forward-comment-or-string ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4525 "Return true if in a string or comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4526 (let ((state
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4527 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4528 (parse-partial-sexp (point-min) (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4529 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4530 ((nth 3 state) ;Inside string
80355
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
4531 (search-forward "\"")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4532 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4533 ((nth 7 state) ;Inside // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4534 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4535 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4536 ((nth 4 state) ;Inside any comment (hence /**/)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4537 (search-forward "*/"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4538 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4539 nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4540
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4541 (defun verilog-skip-backward-comment-or-string ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4542 "Return true if in a string or comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4543 (let ((state
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4544 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4545 (parse-partial-sexp (point-min) (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4546 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4547 ((nth 3 state) ;Inside string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4548 (search-backward "\"")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4549 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4550 ((nth 7 state) ;Inside // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4551 (search-backward "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4552 (skip-chars-backward "/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4553 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4554 ((nth 4 state) ;Inside /* */ comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4555 (search-backward "/*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4556 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4557 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4558 nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4559
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4560 (defun verilog-skip-backward-comments ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4561 "Return true if a comment was skipped."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4562 (let ((more t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4563 (while more
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4564 (setq more
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4565 (let ((state
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4566 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4567 (parse-partial-sexp (point-min) (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4568 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4569 ((nth 7 state) ;Inside // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4570 (search-backward "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4571 (skip-chars-backward "/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4572 (skip-chars-backward " \t\n\f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4573 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4574 ((nth 4 state) ;Inside /* */ comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4575 (search-backward "/*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4576 (skip-chars-backward " \t\n\f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4577 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4578 ((and (not (bobp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4579 (= (char-before) ?\/)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4580 (= (char-before (1- (point))) ?\*))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4581 (goto-char (- (point) 2))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4582 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4583 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4584 (skip-chars-backward " \t\n\f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4585 nil)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4586
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4587 (defun verilog-skip-forward-comment-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4588 "If in comment, move to end and return true."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4589 (let (state)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4590 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4591 (setq state
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4592 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4593 (parse-partial-sexp (point-min) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4594 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4595 ((nth 3 state)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4596 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4597 ((nth 7 state) ;Inside // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4598 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4599 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4600 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4601 ((nth 4 state) ;Inside any comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4602 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4603 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4604 nil)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4605
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4606 (defun verilog-indent-line-relative ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4607 "Cheap version of indent line.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4608 Only look at a few lines to determine indent level."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4609 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4610 (let ((indent-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4611 (sp (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4612 (if (looking-at "^[ \t]*$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4613 (cond ;- A blank line; No need to be too smart.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4614 ((bobp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4615 (setq indent-str (list 'cpp 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4616 ((verilog-continued-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4617 (let ((sp1 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4618 (if (verilog-continued-line)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4619 (progn
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4620 (goto-char sp)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4621 (setq indent-str
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4622 (list 'statement (verilog-current-indent-level))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4623 (goto-char sp1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4624 (setq indent-str (list 'block (verilog-current-indent-level)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4625 (goto-char sp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4626 ((goto-char sp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4627 (setq indent-str (verilog-calculate-indent))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4628 (progn (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4629 (setq indent-str (verilog-calculate-indent))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4630 (verilog-do-indent indent-str)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4631
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4632 (defun verilog-indent-line ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4633 "Indent for special part of code."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4634 (verilog-do-indent (verilog-calculate-indent)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4635
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4636 (defun verilog-do-indent (indent-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4637 (let ((type (car indent-str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4638 (ind (car (cdr indent-str))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4639 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4640 (; handle continued exp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4641 (eq type 'cexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4642 (let ((here (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4643 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4644 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4645 ((or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4646 (= (preceding-char) ?\,)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4647 (= (preceding-char) ?\])
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4648 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4649 (verilog-beg-of-statement-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4650 (looking-at verilog-declaration-re)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4651 (let* ( fst
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4652 (val
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4653 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4654 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4655 (verilog-beg-of-statement-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4656 (setq fst (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4657 (if (looking-at verilog-declaration-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4658 (progn ;; we have multiple words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4659 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4660 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4661 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4662 ((and verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4663 (= (following-char) ?\`))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4664 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4665 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4666 (forward-word 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4667 (skip-chars-forward " \t")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4668 ((= (following-char) ?\[)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4669 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4670 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4671 (backward-up-list -1)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4672 (skip-chars-forward " \t"))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4673 (current-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4674 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4675 (goto-char fst)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4676 (+ (current-column) verilog-cexp-indent))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4677 (goto-char here)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4678 (indent-line-to val)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4679 ((= (preceding-char) ?\) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4680 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4681 (let ((val (eval (cdr (assoc type verilog-indent-alist)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4682 (indent-line-to val)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4683 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4684 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4685 (let ((val))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4686 (verilog-beg-of-statement-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4687 (if (and (< (point) here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4688 (verilog-re-search-forward "=[ \\t]*" here 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4689 (setq val (current-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4690 (setq val (eval (cdr (assoc type verilog-indent-alist)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4691 (goto-char here)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4692 (indent-line-to val))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4693
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4694 (; handle inside parenthetical expressions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4695 (eq type 'cparenexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4696 (let ((val (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4697 (backward-up-list 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4698 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4699 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4700 (current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4701 (indent-line-to val)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4702 (if (and (not (verilog-in-struct-region-p))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4703 (looking-at verilog-declaration-re))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4704 (verilog-indent-declaration ind))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4705
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4706 (;-- Handle the ends
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4707 (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4708 (looking-at verilog-end-block-re )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4709 (verilog-at-close-constraint-p))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4710 (let ((val (if (eq type 'statement)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4711 (- ind verilog-indent-level)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4712 ind)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4713 (indent-line-to val)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4714
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4715 (;-- Case -- maybe line 'em up
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4716 (and (eq type 'case) (not (looking-at "^[ \t]*$")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4717 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4718 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4719 ((looking-at "\\<endcase\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4720 (indent-line-to ind))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4721 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4722 (let ((val (eval (cdr (assoc type verilog-indent-alist)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4723 (indent-line-to val))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4724
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4725 (;-- defun
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4726 (and (eq type 'defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4727 (looking-at verilog-zero-indent-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4728 (indent-line-to 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4729
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4730 (;-- declaration
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4731 (and (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4732 (eq type 'defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4733 (eq type 'block))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4734 (looking-at verilog-declaration-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4735 (verilog-indent-declaration ind))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4736
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4737 (;-- Everything else
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4738 t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4739 (let ((val (eval (cdr (assoc type verilog-indent-alist)))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4740 (indent-line-to val))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4741
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4742 (if (looking-at "[ \t]+$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4743 (skip-chars-forward " \t"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4744 indent-str ; Return indent data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4745 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4746
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4747 (defun verilog-current-indent-level ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4748 "Return the indent-level of the current statement."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4749 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4750 (let (par-pos)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4751 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4752 (setq par-pos (verilog-parenthesis-depth))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4753 (while par-pos
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4754 (goto-char par-pos)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4755 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4756 (setq par-pos (verilog-parenthesis-depth)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4757 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4758 (current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4759
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4760 (defun verilog-case-indent-level ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4761 "Return the indent-level of the current statement.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4762 Do not count named blocks or case-statements."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4763 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4764 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4765 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4766 ((looking-at verilog-named-block-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4767 (current-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4768 ((and (not (looking-at verilog-case-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4769 (looking-at "^[^:;]+[ \t]*:"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4770 (verilog-re-search-forward ":" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4771 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4772 (current-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4773 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4774 (current-column)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4775
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4776 (defun verilog-indent-comment ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4777 "Indent current line as comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4778 (let* ((stcol
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4779 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4780 ((verilog-in-star-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4781 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4782 (re-search-backward "/\\*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4783 (1+(current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4784 (comment-column
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4785 comment-column )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4786 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4787 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4788 (re-search-backward "//" nil t)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4789 (current-column))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4790 (indent-line-to stcol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4791 stcol))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4792
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4793 (defun verilog-more-comment ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4794 "Make more comment lines like the previous."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4795 (let* ((star 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4796 (stcol
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4797 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4798 ((verilog-in-star-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4799 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4800 (setq star 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4801 (re-search-backward "/\\*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4802 (1+(current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4803 (comment-column
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4804 comment-column )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4805 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4806 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4807 (re-search-backward "//" nil t)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4808 (current-column))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4809 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4810 (indent-to stcol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4811 (if (and star
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4812 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4813 (forward-line -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4814 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4815 (looking-at "\*")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4816 (insert "* ")))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4817
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4818 (defun verilog-comment-indent (&optional arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4819 "Return the column number the line should be indented to.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4820 ARG is ignored, for `comment-indent-function' compatibility."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4821 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4822 ((verilog-in-star-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4823 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4824 (re-search-backward "/\\*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4825 (1+(current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4826 ( comment-column
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4827 comment-column )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4828 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4829 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4830 (re-search-backward "//" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4831 (current-column)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4832
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4833 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4834
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4835 (defun verilog-pretty-declarations (&optional quiet)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4836 "Line up declarations around point."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4837 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4838 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4839 (if (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4840 (verilog-beg-of-statement-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4841 (looking-at verilog-declaration-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4842 (let* ((m1 (make-marker))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4843 (e) (r)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4844 (here (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4845 ;; Start of declaration range
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4846 (start
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4847 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4848 (verilog-beg-of-statement-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4849 (while (looking-at verilog-declaration-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4850 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4851 (setq e (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4852 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4853 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4854 (verilog-beg-of-statement-1)) ;Ack, need to grok `define
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4855 e))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4856 ;; End of declaration range
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4857 (end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4858 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4859 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4860 (verilog-end-of-statement)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4861 (setq e (point)) ;Might be on last line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4862 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4863 (while (looking-at verilog-declaration-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4864 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4865 (verilog-end-of-statement)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4866 (setq e (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4867 (verilog-forward-syntactic-ws))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4868 e))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4869 (edpos (set-marker (make-marker) end))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4870 (ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4871 (base-ind
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4872 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4873 (goto-char start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4874 (verilog-do-indent (verilog-calculate-indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4875 (verilog-forward-ws&directives)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4876 (current-column))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4877 (goto-char end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4878 (goto-char start)
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4879 (if (and (not quiet)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4880 (> (- end start) 100))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4881 (message "Lining up declarations..(please stand by)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4882 ;; Get the beginning of line indent first
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4883 (while (progn (setq e (marker-position edpos))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4884 (< (point) e))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4885 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4886 ( (save-excursion (skip-chars-backward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4887 (bolp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4888 (verilog-forward-ws&directives)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4889 (indent-line-to base-ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4890 (verilog-forward-ws&directives)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4891 (verilog-re-search-forward "[ \t\n\f]" e 'move))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4892 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4893 (just-one-space)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4894 (verilog-re-search-forward "[ \t\n\f]" e 'move)))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4895 ;;(forward-line)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4896 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4897 ;; Now find biggest prefix
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4898 (setq ind (verilog-get-lineup-indent start edpos))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4899 ;; Now indent each line.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4900 (goto-char start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4901 (while (progn (setq e (marker-position edpos))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4902 (setq r (- e (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4903 (> r 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4904 (setq e (point))
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4905 (unless quiet (message "%d" r))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4906 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4907 ((or (and verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4908 (looking-at verilog-declaration-re-1-macro))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4909 (looking-at verilog-declaration-re-1-no-macro))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4910 (let ((p (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4911 (set-marker m1 p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4912 (if (verilog-re-search-forward "[[#`]" p 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4913 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4914 (forward-char -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4915 (just-one-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4916 (goto-char (marker-position m1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4917 (just-one-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4918 (indent-to ind))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4919 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4920 (just-one-space)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4921 (indent-to ind)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4922 ((verilog-continued-line-1 start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4923 (goto-char e)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4924 (indent-line-to ind))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4925 (t ; Must be comment or white space
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4926 (goto-char e)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4927 (verilog-forward-ws&directives)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4928 (forward-line -1)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4929 (forward-line 1))
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4930 (unless quiet (message ""))))))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4931
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4932 (defun verilog-pretty-expr (&optional quiet myre)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4933 "Line up expressions around point, or optional regexp MYRE."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4934 (interactive "sRegular Expression: ((<|:)?=) ")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4935 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4936 (if (or (eq myre nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4937 (string-equal myre ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4938 (setq myre "\\(<\\|:\\)?="))
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4939 (setq myre (concat "\\(^[^;#:<=>]*\\)\\(" myre "\\)"))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4940 (let ((rexp(concat "^\\s-*" verilog-complete-reg)))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4941 (beginning-of-line)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4942 (if (and (not (looking-at rexp ))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4943 (looking-at myre))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4944 (let* ((here (point))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4945 (e) (r)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4946 (start
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4947 (progn
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4948 (beginning-of-line)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4949 (setq e (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4950 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4951 (beginning-of-line)
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4952 (while (and (not (looking-at rexp ))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4953 (looking-at myre)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4954 (not (bobp))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4955 )
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4956 (setq e (point))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4957 (verilog-backward-syntactic-ws)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4958 (beginning-of-line)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4959 ) ;Ack, need to grok `define
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4960 e))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4961 (end
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4962 (progn
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4963 (goto-char here)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4964 (end-of-line)
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4965 (setq e (point)) ;Might be on last line
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4966 (verilog-forward-syntactic-ws)
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4967 (beginning-of-line)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4968 (while (and (not (looking-at rexp ))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4969 (looking-at myre))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4970 (end-of-line)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4971 (setq e (point))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4972 (verilog-forward-syntactic-ws)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4973 (beginning-of-line)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4974 )
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4975 e))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4976 (edpos (set-marker (make-marker) end))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4977 (ind)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4978 )
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4979 (goto-char start)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4980 (verilog-do-indent (verilog-calculate-indent))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4981 (if (and (not quiet)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4982 (> (- end start) 100))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4983 (message "Lining up expressions..(please stand by)"))
80141
00b853b0f933 (customize): Fix typo in error message.
Juanma Barranquero <lekktu@gmail.com>
parents: 80024
diff changeset
4984
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4985 ;; Set indent to minimum throughout region
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4986 (while (< (point) (marker-position edpos))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4987 (beginning-of-line)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4988 (verilog-just-one-space myre)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4989 (end-of-line)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4990 (verilog-forward-syntactic-ws)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4991 )
80141
00b853b0f933 (customize): Fix typo in error message.
Juanma Barranquero <lekktu@gmail.com>
parents: 80024
diff changeset
4992
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4993 ;; Now find biggest prefix
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4994 (setq ind (verilog-get-lineup-indent-2 myre start edpos))
80141
00b853b0f933 (customize): Fix typo in error message.
Juanma Barranquero <lekktu@gmail.com>
parents: 80024
diff changeset
4995
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4996 ;; Now indent each line.
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4997 (goto-char start)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4998 (while (progn (setq e (marker-position edpos))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
4999 (setq r (- e (point)))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5000 (> r 0))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5001 (setq e (point))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5002 (if (not quiet) (message "%d" r))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5003 (cond
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5004 ((looking-at myre)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5005 (goto-char (match-end 1))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5006 (if (not (verilog-parenthesis-depth)) ;; ignore parenthsized exprs
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5007 (if (eq (char-after) ?=)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5008 (indent-to (1+ ind)) ; line up the = of the <= with surrounding =
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5009 (indent-to ind)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5010 )))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5011 ((verilog-continued-line-1 start)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5012 (goto-char e)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5013 (indent-line-to ind))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5014 (t ; Must be comment or white space
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5015 (goto-char e)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5016 (verilog-forward-ws&directives)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5017 (forward-line -1))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5018 )
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5019 (forward-line 1))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5020 (unless quiet (message ""))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5021 )))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5022
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5023 (defun verilog-just-one-space (myre)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5024 "Remove extra spaces around regular expression MYRE."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5025 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5026 (if (and (not(looking-at verilog-complete-reg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5027 (looking-at myre))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5028 (let ((p1 (match-end 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5029 (p2 (match-end 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5030 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5031 (goto-char p2)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5032 (if (looking-at "\\s-") (just-one-space))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5033 (goto-char p1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5034 (forward-char -1)
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5035 (if (looking-at "\\s-") (just-one-space))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5036 ))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5037
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5038 (defun verilog-indent-declaration (baseind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5039 "Indent current lines as declaration.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5040 Line up the variable names based on previous declaration's indentation.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5041 BASEIND is the base indent to offset everything."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5042 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5043 (let ((pos (point-marker))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5044 (lim (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5045 ;; (verilog-re-search-backward verilog-declaration-opener nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5046 (verilog-re-search-backward "\\(\\<begin\\>\\)\\|\\(\\<module\\>\\)\\|\\(\\<task\\>\\)" nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5047 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5048 (ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5049 (val)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5050 (m1 (make-marker)))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5051 (setq val
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5052 (+ baseind (eval (cdr (assoc 'declaration verilog-indent-alist)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5053 (indent-line-to val)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5054
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5055 ;; Use previous declaration (in this module) as template.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5056 (if (or (memq 'all verilog-auto-lineup)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5057 (memq 'declaration verilog-auto-lineup))
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
5058 (if (verilog-re-search-backward
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5059 (or (and verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5060 verilog-declaration-re-1-macro)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5061 verilog-declaration-re-1-no-macro) lim t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5062 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5063 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5064 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5065 (setq ind (current-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5066 (goto-char pos)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5067 (setq val
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5068 (+ baseind
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5069 (eval (cdr (assoc 'declaration verilog-indent-alist)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5070 (indent-line-to val)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5071 (if (and verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5072 (looking-at verilog-declaration-re-2-macro))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5073 (let ((p (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5074 (set-marker m1 p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5075 (if (verilog-re-search-forward "[[#`]" p 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5076 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5077 (forward-char -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5078 (just-one-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5079 (goto-char (marker-position m1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5080 (just-one-space)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5081 (indent-to ind))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5082 (if (/= (current-column) ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5083 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5084 (just-one-space)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5085 (indent-to ind)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5086 (if (looking-at verilog-declaration-re-2-no-macro)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5087 (let ((p (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5088 (set-marker m1 p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5089 (if (verilog-re-search-forward "[[`#]" p 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5090 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5091 (forward-char -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5092 (just-one-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5093 (goto-char (marker-position m1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5094 (just-one-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5095 (indent-to ind))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5096 (if (/= (current-column) ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5097 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5098 (just-one-space)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5099 (indent-to ind))))))))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5100 (goto-char pos)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5101
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5102 (defun verilog-get-lineup-indent (b edpos)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5103 "Return the indent level that will line up several lines within the region.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5104 Region is defined by B and EDPOS."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5105 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5106 (let ((ind 0) e)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5107 (goto-char b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5108 ;; Get rightmost position
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5109 (while (progn (setq e (marker-position edpos))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5110 (< (point) e))
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
5111 (if (verilog-re-search-forward
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5112 (or (and verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5113 verilog-declaration-re-1-macro)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5114 verilog-declaration-re-1-no-macro) e 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5115 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5116 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5117 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5118 (if (> (current-column) ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5119 (setq ind (current-column)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5120 (goto-char (match-end 0)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5121 (if (> ind 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5122 (1+ ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5123 ;; No lineup-string found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5124 (goto-char b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5125 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5126 (skip-chars-backward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5127 (1+ (current-column))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5128
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5129 (defun verilog-get-lineup-indent-2 (myre b edpos)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5130 "Return the indent level that will line up several lines within the region."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5131 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5132 (let ((ind 0) e)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5133 (goto-char b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5134 ;; Get rightmost position
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5135 (while (progn (setq e (marker-position edpos))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5136 (< (point) e))
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5137 (if (and (verilog-re-search-forward myre e 'move)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5138 (not (verilog-parenthesis-depth))) ;; skip parenthsized exprs
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5139 (progn
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5140 (goto-char (match-beginning 2))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5141 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5142 (if (> (current-column) ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5143 (setq ind (current-column)))
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5144 (goto-char (match-end 0)))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5145 ))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5146 (if (> ind 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5147 (1+ ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5148 ;; No lineup-string found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5149 (goto-char b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5150 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5151 (skip-chars-backward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5152 (1+ (current-column))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5153
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5154 (defun verilog-comment-depth (type val)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5155 "A useful mode debugging aide. TYPE and VAL are comments for insertion."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5156 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5157 (let
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5158 ((b (prog2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5159 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5160 (point-marker)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5161 (end-of-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5162 (e (point-marker)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5163 (if (re-search-backward " /\\* \[#-\]# \[a-zA-Z\]+ \[0-9\]+ ## \\*/" b t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5164 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5165 (replace-match " /* -# ## */")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5166 (end-of-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5167 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5168 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5169 (insert " /* ## ## */"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5170 (backward-char 6)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5171 (insert
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5172 (format "%s %d" type val))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5173
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5174 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5175 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5176 ;; Completion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5177 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5178 (defvar verilog-str nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5179 (defvar verilog-all nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5180 (defvar verilog-pred nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5181 (defvar verilog-buffer-to-use nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5182 (defvar verilog-flag nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5183 (defvar verilog-toggle-completions nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5184 "*True means \\<verilog-mode-map>\\[verilog-complete-word] should try all possible completions one by one.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5185 Repeated use of \\[verilog-complete-word] will show you all of them.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5186 Normally, when there is more than one possible completion,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5187 it displays a list of all possible completions.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5188
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5189
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5190 (defvar verilog-type-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5191 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5192 "and" "buf" "bufif0" "bufif1" "cmos" "defparam" "inout" "input"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5193 "integer" "localparam" "logic" "mailbox" "nand" "nmos" "nor" "not" "notif0"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5194 "notif1" "or" "output" "parameter" "pmos" "pull0" "pull1" "pullup"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5195 "rcmos" "real" "realtime" "reg" "rnmos" "rpmos" "rtran" "rtranif0"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5196 "rtranif1" "semaphore" "time" "tran" "tranif0" "tranif1" "tri" "tri0" "tri1"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5197 "triand" "trior" "trireg" "wand" "wire" "wor" "xnor" "xor"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5198 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5199 "*Keywords for types used when completing a word in a declaration or parmlist.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5200 \(Eg. integer, real, reg...)")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5201
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5202 (defvar verilog-cpp-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5203 '("module" "macromodule" "primitive" "timescale" "define" "ifdef" "ifndef" "else"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5204 "endif")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5205 "*Keywords to complete when at first word of a line in declarative scope.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5206 \(Eg. initial, always, begin, assign.)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5207 The procedures and variables defined within the Verilog program
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5208 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5209
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5210 (defvar verilog-defun-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5211 (append
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5212 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5213 "always" "always_comb" "always_ff" "always_latch" "assign"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5214 "begin" "end" "generate" "endgenerate" "module" "endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5215 "specify" "endspecify" "function" "endfunction" "initial" "final"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5216 "task" "endtask" "primitive" "endprimitive"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5217 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5218 verilog-type-keywords)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5219 "*Keywords to complete when at first word of a line in declarative scope.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5220 \(Eg. initial, always, begin, assign.)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5221 The procedures and variables defined within the Verilog program
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5222 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5223
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5224 (defvar verilog-block-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5225 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5226 "begin" "break" "case" "continue" "else" "end" "endfunction"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5227 "endgenerate" "endinterface" "endpackage" "endspecify" "endtask"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5228 "for" "fork" "if" "join" "join_any" "join_none" "repeat" "return"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5229 "while")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5230 "*Keywords to complete when at first word of a line in behavioral scope.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5231 \(Eg. begin, if, then, else, for, fork.)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5232 The procedures and variables defined within the Verilog program
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5233 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5234
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5235 (defvar verilog-tf-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5236 '("begin" "break" "fork" "join" "join_any" "join_none" "case" "end" "endtask" "endfunction" "if" "else" "for" "while" "repeat")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5237 "*Keywords to complete when at first word of a line in a task or function.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5238 \(Eg. begin, if, then, else, for, fork.)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5239 The procedures and variables defined within the Verilog program
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5240 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5241
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5242 (defvar verilog-case-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5243 '("begin" "fork" "join" "join_any" "join_none" "case" "end" "endcase" "if" "else" "for" "repeat")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5244 "*Keywords to complete when at first word of a line in case scope.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5245 \(Eg. begin, if, then, else, for, fork.)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5246 The procedures and variables defined within the Verilog program
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5247 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5248
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5249 (defvar verilog-separator-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5250 '("else" "then" "begin")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5251 "*Keywords to complete when NOT standing at the first word of a statement.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5252 \(Eg. else, then.)
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5253 Variables and function names defined within the Verilog program
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5254 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5255
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5256 (defun verilog-string-diff (str1 str2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5257 "Return index of first letter where STR1 and STR2 differs."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5258 (catch 'done
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5259 (let ((diff 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5260 (while t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5261 (if (or (> (1+ diff) (length str1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5262 (> (1+ diff) (length str2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5263 (throw 'done diff))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5264 (or (equal (aref str1 diff) (aref str2 diff))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5265 (throw 'done diff))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5266 (setq diff (1+ diff))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5267
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5268 ;; Calculate all possible completions for functions if argument is `function',
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5269 ;; completions for procedures if argument is `procedure' or both functions and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5270 ;; procedures otherwise.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5271
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5272 (defun verilog-func-completion (type)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5273 "Build regular expression for module/task/function names.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5274 TYPE is 'module, 'tf for task or function, or t if unknown."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5275 (if (string= verilog-str "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5276 (setq verilog-str "[a-zA-Z_]"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5277 (let ((verilog-str (concat (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5278 ((eq type 'module) "\\<\\(module\\)\\s +")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5279 ((eq type 'tf) "\\<\\(task\\|function\\)\\s +")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5280 (t "\\<\\(task\\|function\\|module\\)\\s +"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5281 "\\<\\(" verilog-str "[a-zA-Z0-9_.]*\\)\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5282 match)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5283
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5284 (if (not (looking-at verilog-defun-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5285 (verilog-re-search-backward verilog-defun-re nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5286 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5287
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5288 ;; Search through all reachable functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5289 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5290 (while (verilog-re-search-forward verilog-str (point-max) t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5291 (progn (setq match (buffer-substring (match-beginning 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5292 (match-end 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5293 (if (or (null verilog-pred)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5294 (funcall verilog-pred match))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5295 (setq verilog-all (cons match verilog-all)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5296 (if (match-beginning 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5297 (goto-char (match-beginning 0)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5298
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5299 (defun verilog-get-completion-decl (end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5300 "Macro for searching through current declaration (var, type or const)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5301 for matches of `str' and adding the occurrence tp `all' through point END."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5302 (let ((re (or (and verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5303 verilog-declaration-re-2-macro)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5304 verilog-declaration-re-2-no-macro))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5305 decl-end match)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5306 ;; Traverse lines
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5307 (while (and (< (point) end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5308 (verilog-re-search-forward re end t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5309 ;; Traverse current line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5310 (setq decl-end (save-excursion (verilog-declaration-end)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5311 (while (and (verilog-re-search-forward verilog-symbol-re decl-end t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5312 (not (match-end 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5313 (setq match (buffer-substring (match-beginning 0) (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5314 (if (string-match (concat "\\<" verilog-str) match)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5315 (if (or (null verilog-pred)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5316 (funcall verilog-pred match))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5317 (setq verilog-all (cons match verilog-all)))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5318 (forward-line 1)))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5319 verilog-all)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5320
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5321 (defun verilog-type-completion ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5322 "Calculate all possible completions for types."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5323 (let ((start (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5324 goon)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5325 ;; Search for all reachable type declarations
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5326 (while (or (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5327 (setq goon (not goon)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5328 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5329 (if (and (< start (prog1 (save-excursion (verilog-end-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5330 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5331 (forward-char 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5332 (verilog-re-search-forward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5333 "\\<type\\>\\|\\<\\(begin\\|function\\|procedure\\)\\>"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5334 start t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5335 (not (match-end 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5336 ;; Check current type declaration
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5337 (verilog-get-completion-decl start))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5338
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5339 (defun verilog-var-completion ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5340 "Calculate all possible completions for variables (or constants)."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5341 (let ((start (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5342 ;; Search for all reachable var declarations
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5343 (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5344 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5345 ;; Check var declarations
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5346 (verilog-get-completion-decl start))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5347
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5348 (defun verilog-keyword-completion (keyword-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5349 "Give list of all possible completions of keywords in KEYWORD-LIST."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5350 (mapcar '(lambda (s)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5351 (if (string-match (concat "\\<" verilog-str) s)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5352 (if (or (null verilog-pred)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5353 (funcall verilog-pred s))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5354 (setq verilog-all (cons s verilog-all)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5355 keyword-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5356
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5357
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5358 (defun verilog-completion (verilog-str verilog-pred verilog-flag)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5359 "Function passed to `completing-read', `try-completion' or `all-completions'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5360 Called to get completion on VERILOG-STR. If VERILOG-PRED is non-nil, it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5361 must be a function to be called for every match to check if this should
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5362 really be a match. If VERILOG-FLAG is t, the function returns a list of
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5363 all possible completions. If VERILOG-FLAG is nil it returns a string,
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5364 the longest possible completion, or t if VERILOG-STR is an exact match.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5365 If VERILOG-FLAG is 'lambda, the function returns t if VERILOG-STR is an
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5366 exact match, nil otherwise."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5367 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5368 (let ((verilog-all nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5369 ;; Set buffer to use for searching labels. This should be set
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5370 ;; within functions which use verilog-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5371 (set-buffer verilog-buffer-to-use)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5372
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5373 ;; Determine what should be completed
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5374 (let ((state (car (verilog-calculate-indent))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5375 (cond ((eq state 'defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5376 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5377 (verilog-func-completion 'module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5378 (verilog-keyword-completion verilog-defun-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5379
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5380 ((eq state 'behavioral)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5381 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5382 (verilog-func-completion 'module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5383 (verilog-keyword-completion verilog-defun-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5384
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5385 ((eq state 'block)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5386 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5387 (verilog-func-completion 'tf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5388 (verilog-keyword-completion verilog-block-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5389
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5390 ((eq state 'case)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5391 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5392 (verilog-func-completion 'tf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5393 (verilog-keyword-completion verilog-case-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5394
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5395 ((eq state 'tf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5396 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5397 (verilog-func-completion 'tf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5398 (verilog-keyword-completion verilog-tf-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5399
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5400 ((eq state 'cpp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5401 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5402 (verilog-keyword-completion verilog-cpp-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5403
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5404 ((eq state 'cparenexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5405 (save-excursion (verilog-var-completion)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5406
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5407 (t;--Anywhere else
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5408 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5409 (verilog-func-completion 'both)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5410 (verilog-keyword-completion verilog-separator-keywords))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5411
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5412 ;; Now we have built a list of all matches. Give response to caller
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5413 (verilog-completion-response))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5414
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5415 (defun verilog-completion-response ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5416 (cond ((or (equal verilog-flag 'lambda) (null verilog-flag))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5417 ;; This was not called by all-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5418 (if (null verilog-all)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5419 ;; Return nil if there was no matching label
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5420 nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5421 ;; Get longest string common in the labels
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5422 (let* ((elm (cdr verilog-all))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5423 (match (car verilog-all))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5424 (min (length match))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5425 tmp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5426 (if (string= match verilog-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5427 ;; Return t if first match was an exact match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5428 (setq match t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5429 (while (not (null elm))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5430 ;; Find longest common string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5431 (if (< (setq tmp (verilog-string-diff match (car elm))) min)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5432 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5433 (setq min tmp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5434 (setq match (substring match 0 min))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5435 ;; Terminate with match=t if this is an exact match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5436 (if (string= (car elm) verilog-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5437 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5438 (setq match t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5439 (setq elm nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5440 (setq elm (cdr elm)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5441 ;; If this is a test just for exact match, return nil ot t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5442 (if (and (equal verilog-flag 'lambda) (not (equal match 't)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5443 nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5444 match))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5445 ;; If flag is t, this was called by all-completions. Return
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5446 ;; list of all possible completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5447 (verilog-flag
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5448 verilog-all)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5449
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5450 (defvar verilog-last-word-numb 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5451 (defvar verilog-last-word-shown nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5452 (defvar verilog-last-completions nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5453
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5454 (defun verilog-complete-word ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5455 "Complete word at current point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5456 \(See also `verilog-toggle-completions', `verilog-type-keywords',
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5457 and `verilog-separator-keywords'.)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5458 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5459 (let* ((b (save-excursion (skip-chars-backward "a-zA-Z0-9_") (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5460 (e (save-excursion (skip-chars-forward "a-zA-Z0-9_") (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5461 (verilog-str (buffer-substring b e))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5462 ;; The following variable is used in verilog-completion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5463 (verilog-buffer-to-use (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5464 (allcomp (if (and verilog-toggle-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5465 (string= verilog-last-word-shown verilog-str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5466 verilog-last-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5467 (all-completions verilog-str 'verilog-completion)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5468 (match (if verilog-toggle-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5469 "" (try-completion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5470 verilog-str (mapcar '(lambda (elm)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5471 (cons elm 0)) allcomp)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5472 ;; Delete old string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5473 (delete-region b e)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5474
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5475 ;; Toggle-completions inserts whole labels
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5476 (if verilog-toggle-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5477 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5478 ;; Update entry number in list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5479 (setq verilog-last-completions allcomp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5480 verilog-last-word-numb
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5481 (if (>= verilog-last-word-numb (1- (length allcomp)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5482 0
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5483 (1+ verilog-last-word-numb)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5484 (setq verilog-last-word-shown (elt allcomp verilog-last-word-numb))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5485 ;; Display next match or same string if no match was found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5486 (if (not (null allcomp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5487 (insert "" verilog-last-word-shown)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5488 (insert "" verilog-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5489 (message "(No match)")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5490 ;; The other form of completion does not necessarily do that.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5491
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5492 ;; Insert match if found, or the original string if no match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5493 (if (or (null match) (equal match 't))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5494 (progn (insert "" verilog-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5495 (message "(No match)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5496 (insert "" match))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5497 ;; Give message about current status of completion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5498 (cond ((equal match 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5499 (if (not (null (cdr allcomp)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5500 (message "(Complete but not unique)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5501 (message "(Sole completion)")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5502 ;; Display buffer if the current completion didn't help
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5503 ;; on completing the label.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5504 ((and (not (null (cdr allcomp))) (= (length verilog-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5505 (length match)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5506 (with-output-to-temp-buffer "*Completions*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5507 (display-completion-list allcomp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5508 ;; Wait for a key press. Then delete *Completion* window
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5509 (momentary-string-display "" (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5510 (delete-window (get-buffer-window (get-buffer "*Completions*")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5511 )))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5512
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5513 (defun verilog-show-completions ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5514 "Show all possible completions at current point."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5515 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5516 (let* ((b (save-excursion (skip-chars-backward "a-zA-Z0-9_") (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5517 (e (save-excursion (skip-chars-forward "a-zA-Z0-9_") (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5518 (verilog-str (buffer-substring b e))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5519 ;; The following variable is used in verilog-completion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5520 (verilog-buffer-to-use (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5521 (allcomp (if (and verilog-toggle-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5522 (string= verilog-last-word-shown verilog-str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5523 verilog-last-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5524 (all-completions verilog-str 'verilog-completion))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5525 ;; Show possible completions in a temporary buffer.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5526 (with-output-to-temp-buffer "*Completions*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5527 (display-completion-list allcomp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5528 ;; Wait for a key press. Then delete *Completion* window
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5529 (momentary-string-display "" (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5530 (delete-window (get-buffer-window (get-buffer "*Completions*")))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5531
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5532
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5533 (defun verilog-get-default-symbol ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5534 "Return symbol around current point as a string."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5535 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5536 (buffer-substring (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5537 (skip-chars-backward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5538 (skip-chars-backward "a-zA-Z0-9_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5539 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5540 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5541 (skip-chars-forward "a-zA-Z0-9_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5542 (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5543
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5544 (defun verilog-build-defun-re (str &optional arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5545 "Return function/task/module starting with STR as regular expression.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5546 With optional second ARG non-nil, STR is the complete name of the instruction."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5547 (if arg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5548 (concat "^\\(function\\|task\\|module\\)[ \t]+\\(" str "\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5549 (concat "^\\(function\\|task\\|module\\)[ \t]+\\(" str "[a-zA-Z0-9_]*\\)\\>")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5550
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5551 (defun verilog-comp-defun (verilog-str verilog-pred verilog-flag)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5552 "Function passed to `completing-read', `try-completion' or `all-completions'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5553 Returns a completion on any function name based on VERILOG-STR prefix. If
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5554 VERILOG-PRED is non-nil, it must be a function to be called for every match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5555 to check if this should really be a match. If VERILOG-FLAG is t, the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5556 function returns a list of all possible completions. If it is nil it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5557 returns a string, the longest possible completion, or t if VERILOG-STR is
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5558 an exact match. If VERILOG-FLAG is 'lambda, the function returns t if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5559 VERILOG-STR is an exact match, nil otherwise."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5560 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5561 (let ((verilog-all nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5562 match)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5563
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5564 ;; Set buffer to use for searching labels. This should be set
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5565 ;; within functions which use verilog-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5566 (set-buffer verilog-buffer-to-use)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5567
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5568 (let ((verilog-str verilog-str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5569 ;; Build regular expression for functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5570 (if (string= verilog-str "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5571 (setq verilog-str (verilog-build-defun-re "[a-zA-Z_]"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5572 (setq verilog-str (verilog-build-defun-re verilog-str)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5573 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5574
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5575 ;; Build a list of all possible completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5576 (while (verilog-re-search-forward verilog-str nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5577 (setq match (buffer-substring (match-beginning 2) (match-end 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5578 (if (or (null verilog-pred)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5579 (funcall verilog-pred match))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5580 (setq verilog-all (cons match verilog-all)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5581
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5582 ;; Now we have built a list of all matches. Give response to caller
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5583 (verilog-completion-response))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5584
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5585 (defun verilog-goto-defun ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5586 "Move to specified Verilog module/task/function.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5587 The default is a name found in the buffer around point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5588 If search fails, other files are checked based on
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5589 `verilog-library-flags'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5590 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5591 (let* ((default (verilog-get-default-symbol))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5592 ;; The following variable is used in verilog-comp-function
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5593 (verilog-buffer-to-use (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5594 (label (if (not (string= default ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5595 ;; Do completion with default
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5596 (completing-read (concat "Label: (default " default ") ")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5597 'verilog-comp-defun nil nil "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5598 ;; There is no default value. Complete without it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5599 (completing-read "Label: "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5600 'verilog-comp-defun nil nil "")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5601 pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5602 ;; If there was no response on prompt, use default value
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5603 (if (string= label "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5604 (setq label default))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5605 ;; Goto right place in buffer if label is not an empty string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5606 (or (string= label "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5607 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5608 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5609 (goto-char (point-min))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5610 (setq pt
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5611 (re-search-forward (verilog-build-defun-re label t) nil t)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5612 (when pt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5613 (goto-char pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5614 (beginning-of-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5615 pt)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5616 (verilog-goto-defun-file label))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5617
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5618 ;; Eliminate compile warning
80172
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
5619 (defvar occur-pos-list)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5620
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5621 (defun verilog-showscopes ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5622 "List all scopes in this module."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5623 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5624 (let ((buffer (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5625 (linenum 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5626 (nlines 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5627 (first 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5628 (prevpos (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5629 (final-context-start (make-marker))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5630 (regexp "\\(module\\s-+\\w+\\s-*(\\)\\|\\(\\w+\\s-+\\w+\\s-*(\\)"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5631 (with-output-to-temp-buffer "*Occur*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5632 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5633 (message (format "Searching for %s ..." regexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5634 ;; Find next match, but give up if prev match was at end of buffer.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5635 (while (and (not (= prevpos (point-max)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5636 (verilog-re-search-forward regexp nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5637 (goto-char (match-beginning 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5638 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5639 (save-match-data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5640 (setq linenum (+ linenum (count-lines prevpos (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5641 (setq prevpos (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5642 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5643 (let* ((start (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5644 (goto-char (match-beginning 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5645 (forward-line (if (< nlines 0) nlines (- nlines)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5646 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5647 (end (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5648 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5649 (if (> nlines 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5650 (forward-line (1+ nlines))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5651 (forward-line 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5652 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5653 (tag (format "%3d" linenum))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5654 (empty (make-string (length tag) ?\ ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5655 tem)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5656 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5657 (setq tem (make-marker))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5658 (set-marker tem (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5659 (set-buffer standard-output)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5660 (setq occur-pos-list (cons tem occur-pos-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5661 (or first (zerop nlines)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5662 (insert "--------\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5663 (setq first nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5664 (insert-buffer-substring buffer start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5665 (backward-char (- end start))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5666 (setq tem (if (< nlines 0) (- nlines) nlines))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5667 (while (> tem 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5668 (insert empty ?:)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5669 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5670 (setq tem (1- tem)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5671 (let ((this-linenum linenum))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5672 (set-marker final-context-start
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5673 (+ (point) (- (match-end 0) (match-beginning 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5674 (while (< (point) final-context-start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5675 (if (null tag)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5676 (setq tag (format "%3d" this-linenum)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5677 (insert tag ?:)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5678 (set-buffer-modified-p nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5679
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5680
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5681 ;; Highlight helper functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5682 (defconst verilog-directive-regexp "\\(translate\\|coverage\\|lint\\)_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5683 (defun verilog-within-translate-off ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5684 "Return point if within translate-off region, else nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5685 (and (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5686 (re-search-backward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5687 (concat "//\\s-*.*\\s-*" verilog-directive-regexp "\\(on\\|off\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5688 nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5689 (equal "off" (match-string 2))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5690 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5691
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5692 (defun verilog-start-translate-off (limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5693 "Return point before translate-off directive if before LIMIT, else nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5694 (when (re-search-forward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5695 (concat "//\\s-*.*\\s-*" verilog-directive-regexp "off\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5696 limit t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5697 (match-beginning 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5698
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5699 (defun verilog-back-to-start-translate-off (limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5700 "Return point before translate-off directive if before LIMIT, else nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5701 (when (re-search-backward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5702 (concat "//\\s-*.*\\s-*" verilog-directive-regexp "off\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5703 limit t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5704 (match-beginning 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5705
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5706 (defun verilog-end-translate-off (limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5707 "Return point after translate-on directive if before LIMIT, else nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5708
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5709 (re-search-forward (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5710 "//\\s-*.*\\s-*" verilog-directive-regexp "on\\>") limit t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5711
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5712 (defun verilog-match-translate-off (limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5713 "Match a translate-off block, setting `match-data' and returning t, else nil.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5714 Bound search by LIMIT."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5715 (when (< (point) limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5716 (let ((start (or (verilog-within-translate-off)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5717 (verilog-start-translate-off limit)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5718 (case-fold-search t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5719 (when start
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5720 (let ((end (or (verilog-end-translate-off limit) limit)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5721 (set-match-data (list start end))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5722 (goto-char end))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5723
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5724 (defun verilog-font-lock-match-item (limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5725 "Match, and move over, any declaration item after point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5726 Bound search by LIMIT. Adapted from
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5727 `font-lock-match-c-style-declaration-item-and-skip-to-next'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5728 (condition-case nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5729 (save-restriction
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5730 (narrow-to-region (point-min) limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5731 ;; match item
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5732 (when (looking-at "\\s-*\\([a-zA-Z]\\w*\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5733 (save-match-data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5734 (goto-char (match-end 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5735 ;; move to next item
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5736 (if (looking-at "\\(\\s-*,\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5737 (goto-char (match-end 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5738 (end-of-line) t))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5739 (error nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5740
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5741
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5742 ;; Added by Subbu Meiyappan for Header
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5743
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5744 (defun verilog-header ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5745 "Insert a standard Verilog file header."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5746 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5747 (let ((start (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5748 (insert "\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5749 //-----------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5750 // Title : <title>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5751 // Project : <project>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5752 //-----------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5753 // File : <filename>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5754 // Author : <author>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5755 // Created : <credate>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5756 // Last modified : <moddate>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5757 //-----------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5758 // Description :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5759 // <description>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5760 //-----------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5761 // Copyright (c) <copydate> by <company> This model is the confidential and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5762 // proprietary property of <company> and the possession or use of this
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5763 // file requires a written license from <company>.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5764 //------------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5765 // Modification history :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5766 // <modhist>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5767 //-----------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5768
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5769 ")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5770 (goto-char start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5771 (search-forward "<filename>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5772 (replace-match (buffer-name) t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5773 (search-forward "<author>") (replace-match "" t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5774 (insert (user-full-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5775 (insert " <" (user-login-name) "@" (system-name) ">")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5776 (search-forward "<credate>") (replace-match "" t t)
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
5777 (verilog-insert-date)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5778 (search-forward "<moddate>") (replace-match "" t t)
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
5779 (verilog-insert-date)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5780 (search-forward "<copydate>") (replace-match "" t t)
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
5781 (verilog-insert-year)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5782 (search-forward "<modhist>") (replace-match "" t t)
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
5783 (verilog-insert-date)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5784 (insert " : created")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5785 (goto-char start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5786 (let (string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5787 (setq string (read-string "title: "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5788 (search-forward "<title>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5789 (replace-match string t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5790 (setq string (read-string "project: " verilog-project))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5791 (setq verilog-project string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5792 (search-forward "<project>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5793 (replace-match string t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5794 (setq string (read-string "Company: " verilog-company))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5795 (setq verilog-company string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5796 (search-forward "<company>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5797 (replace-match string t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5798 (search-forward "<company>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5799 (replace-match string t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5800 (search-forward "<company>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5801 (replace-match string t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5802 (search-backward "<description>")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5803 (replace-match "" t t))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5804
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
5805 ;; verilog-header Uses the verilog-insert-date function
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
5806
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
5807 (defun verilog-insert-date ()
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5808 "Insert date from the system."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5809 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5810 (let ((timpos))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5811 (setq timpos (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5812 (if verilog-date-scientific-format
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5813 (shell-command "date \"+@%Y/%m/%d\"" t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5814 (shell-command "date \"+@%d.%m.%Y\"" t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5815 (search-forward "@")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5816 (delete-region timpos (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5817 (end-of-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5818 (delete-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5819
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
5820 (defun verilog-insert-year ()
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5821 "Insert year from the system."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5822 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5823 (let ((timpos))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5824 (setq timpos (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5825 (shell-command "date \"+@%Y\"" t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5826 (search-forward "@")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5827 (delete-region timpos (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5828 (end-of-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5829 (delete-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5830
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5831
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5832 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5833 ;; Signal list parsing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5834 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5835
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5836 ;; Elements of a signal list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5837 (defsubst verilog-sig-name (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5838 (car sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5839 (defsubst verilog-sig-bits (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5840 (nth 1 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5841 (defsubst verilog-sig-comment (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5842 (nth 2 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5843 (defsubst verilog-sig-memory (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5844 (nth 3 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5845 (defsubst verilog-sig-enum (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5846 (nth 4 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5847 (defsubst verilog-sig-signed (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5848 (nth 5 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5849 (defsubst verilog-sig-type (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5850 (nth 6 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5851 (defsubst verilog-sig-multidim (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5852 (nth 7 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5853 (defsubst verilog-sig-multidim-string (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5854 (if (verilog-sig-multidim sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5855 (let ((str "") (args (verilog-sig-multidim sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5856 (while args
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5857 (setq str (concat str (car args)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5858 (setq args (cdr args)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5859 str)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5860 (defsubst verilog-sig-width (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5861 (verilog-make-width-expression (verilog-sig-bits sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5862
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5863 (defsubst verilog-alw-get-inputs (sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5864 (nth 2 sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5865 (defsubst verilog-alw-get-outputs (sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5866 (nth 0 sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5867 (defsubst verilog-alw-get-uses-delayed (sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5868 (nth 3 sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5869
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5870 (defun verilog-signals-not-in (in-list not-list)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5871 "Return list of signals in IN-LIST that aren't also in NOT-LIST.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5872 Also remove any duplicates in IN-LIST.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5873 Signals must be in standard (base vector) form."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5874 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5875 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5876 (if (not (or (assoc (car (car in-list)) not-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5877 (assoc (car (car in-list)) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5878 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5879 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5880 (nreverse out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5881 ;;(verilog-signals-not-in '(("A" "") ("B" "") ("DEL" "[2:3]")) '(("DEL" "") ("EXT" "")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5882
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5883 (defun verilog-signals-in (in-list other-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5884 "Return list of signals in IN-LIST that are also in OTHER-LIST.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5885 Signals must be in standard (base vector) form."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5886 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5887 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5888 (if (assoc (car (car in-list)) other-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5889 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5890 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5891 (nreverse out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5892 ;;(verilog-signals-in '(("A" "") ("B" "") ("DEL" "[2:3]")) '(("DEL" "") ("EXT" "")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5893
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5894 (defun verilog-signals-memory (in-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5895 "Return list of signals in IN-LIST that are memoried (multidimensional)."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5896 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5897 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5898 (if (nth 3 (car in-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5899 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5900 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5901 out-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5902 ;;(verilog-signals-memory '(("A" nil nil "[3:0]")) '(("B" nil nil nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5903
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5904 (defun verilog-signals-sort-compare (a b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5905 "Compare signal A and B for sorting."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5906 (string< (car a) (car b)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5907
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5908 (defun verilog-signals-not-params (in-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5909 "Return list of signals in IN-LIST that aren't parameters or numeric constants."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5910 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5911 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5912 (unless (boundp (intern (concat "vh-" (car (car in-list)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5913 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5914 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5915 (nreverse out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5916
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5917 (defun verilog-signals-combine-bus (in-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5918 "Return a list of signals in IN-LIST, with busses combined.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5919 Duplicate signals are also removed. For example A[2] and A[1] become A[2:1]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5920 (let (combo buswarn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5921 out-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5922 sig highbit lowbit ; Temp information about current signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5923 sv-name sv-highbit sv-lowbit ; Details about signal we are forming
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5924 sv-comment sv-memory sv-enum sv-signed sv-type sv-multidim sv-busstring
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5925 bus)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5926 ;; Shove signals so duplicated signals will be adjacent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5927 (setq in-list (sort in-list `verilog-signals-sort-compare))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5928 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5929 (setq sig (car in-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5930 ;; No current signal; form from existing details
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5931 (unless sv-name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5932 (setq sv-name (verilog-sig-name sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5933 sv-highbit nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5934 sv-busstring nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5935 sv-comment (verilog-sig-comment sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5936 sv-memory (verilog-sig-memory sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5937 sv-enum (verilog-sig-enum sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5938 sv-signed (verilog-sig-signed sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5939 sv-type (verilog-sig-type sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5940 sv-multidim (verilog-sig-multidim sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5941 combo ""
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5942 buswarn ""))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5943 ;; Extract bus details
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5944 (setq bus (verilog-sig-bits sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5945 (cond ((and bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5946 (or (and (string-match "\\[\\([0-9]+\\):\\([0-9]+\\)\\]" bus)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5947 (setq highbit (string-to-number (match-string 1 bus))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5948 lowbit (string-to-number
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5949 (match-string 2 bus))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5950 (and (string-match "\\[\\([0-9]+\\)\\]" bus)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5951 (setq highbit (string-to-number (match-string 1 bus))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5952 lowbit highbit))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5953 ;; Combine bits in bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5954 (if sv-highbit
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5955 (setq sv-highbit (max highbit sv-highbit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5956 sv-lowbit (min lowbit sv-lowbit))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5957 (setq sv-highbit highbit
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5958 sv-lowbit lowbit)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5959 (bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5960 ;; String, probably something like `preproc:0
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5961 (setq sv-busstring bus)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5962 ;; Peek ahead to next signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5963 (setq in-list (cdr in-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5964 (setq sig (car in-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5965 (cond ((and sig (equal sv-name (verilog-sig-name sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5966 ;; Combine with this signal
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5967 (when (and sv-busstring
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5968 (not (equal sv-busstring (verilog-sig-bits sig))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5969 (when nil ;; Debugging
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5970 (message (concat "Warning, can't merge into single bus "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5971 sv-name bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5972 ", the AUTOs may be wrong")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5973 (setq buswarn ", Couldn't Merge"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5974 (if (verilog-sig-comment sig) (setq combo ", ..."))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5975 (setq sv-memory (or sv-memory (verilog-sig-memory sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5976 sv-enum (or sv-enum (verilog-sig-enum sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5977 sv-signed (or sv-signed (verilog-sig-signed sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5978 sv-type (or sv-type (verilog-sig-type sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5979 sv-multidim (or sv-multidim (verilog-sig-multidim sig))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5980 ;; Doesn't match next signal, add to queue, zero in prep for next
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5981 ;; Note sig may also be nil for the last signal in the list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5982 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5983 (setq out-list
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5984 (cons
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5985 (list sv-name
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5986 (or sv-busstring
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5987 (if sv-highbit
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5988 (concat "[" (int-to-string sv-highbit) ":"
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5989 (int-to-string sv-lowbit) "]")))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5990 (concat sv-comment combo buswarn)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5991 sv-memory sv-enum sv-signed sv-type sv-multidim)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5992 out-list)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5993 sv-name nil))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5994 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5995 out-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5996
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5997 (defun verilog-sig-tieoff (sig &optional no-width)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5998 "Return tieoff expression for given SIG, with appropriate width.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5999 Ignore width if optional NO-WIDTH is set."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6000 (let* ((width (if no-width nil (verilog-sig-width sig))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6001 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6002 (if (and verilog-active-low-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6003 (string-match verilog-active-low-regexp (verilog-sig-name sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6004 "~" "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6005 (cond ((not width)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6006 "0")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6007 ((string-match "^[0-9]+$" width)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6008 (concat width (if (verilog-sig-signed sig) "'sh0" "'h0")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6009 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6010 (concat "{" width "{1'b0}}"))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6011
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6012 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6013 ;; Port/Wire/Etc Reading
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6014 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6015
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6016 (defun verilog-read-inst-backward-name ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6017 "Internal. Move point back to beginning of inst-name."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6018 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6019 (let (done)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6020 (while (not done)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6021 (verilog-re-search-backward-quick "\\()\\|\\b[a-zA-Z0-9`_\$]\\|\\]\\)" nil nil) ; ] isn't word boundary
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6022 (cond ((looking-at ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6023 (verilog-backward-open-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6024 (t (setq done t)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6025 (while (looking-at "\\]")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6026 (verilog-backward-open-bracket)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6027 (verilog-re-search-backward-quick "\\(\\b[a-zA-Z0-9`_\$]\\|\\]\\)" nil nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6028 (skip-chars-backward "a-zA-Z0-9`_$"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6029
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6030 (defun verilog-read-inst-module ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6031 "Return module_name when point is inside instantiation."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6032 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6033 (verilog-read-inst-backward-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6034 ;; Skip over instantiation name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6035 (verilog-re-search-backward-quick "\\(\\b[a-zA-Z0-9`_\$]\\|)\\)" nil nil) ; ) isn't word boundary
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6036 ;; Check for parameterized instantiations
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6037 (when (looking-at ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6038 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6039 (verilog-re-search-backward-quick "\\b[a-zA-Z0-9`_\$]" nil nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6040 (skip-chars-backward "a-zA-Z0-9'_$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6041 (looking-at "[a-zA-Z0-9`_\$]+")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6042 ;; Important: don't use match string, this must work with emacs 19 font-lock on
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6043 (buffer-substring-no-properties (match-beginning 0) (match-end 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6044
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6045 (defun verilog-read-inst-name ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6046 "Return instance_name when point is inside instantiation."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6047 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6048 (verilog-read-inst-backward-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6049 (looking-at "[a-zA-Z0-9`_\$]+")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6050 ;; Important: don't use match string, this must work with emacs 19 font-lock on
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6051 (buffer-substring-no-properties (match-beginning 0) (match-end 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6052
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6053 (defun verilog-read-module-name ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6054 "Return module name when after its ( or ;."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6055 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6056 (re-search-backward "[(;]")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6057 (verilog-re-search-backward-quick "\\b[a-zA-Z0-9`_\$]" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6058 (skip-chars-backward "a-zA-Z0-9`_$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6059 (looking-at "[a-zA-Z0-9`_\$]+")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6060 ;; Important: don't use match string, this must work with emacs 19 font-lock on
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6061 (buffer-substring-no-properties (match-beginning 0) (match-end 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6062
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6063 (defun verilog-read-auto-params (num-param &optional max-param)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6064 "Return parameter list inside auto.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6065 Optional NUM-PARAM and MAX-PARAM check for a specific number of parameters."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6066 (let ((olist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6067 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6068 ;; /*AUTOPUNT("parameter", "parameter")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6069 (search-backward "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6070 (while (looking-at "(?\\s *\"\\([^\"]*\\)\"\\s *,?")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6071 (setq olist (cons (match-string 1) olist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6072 (goto-char (match-end 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6073 (or (eq nil num-param)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6074 (<= num-param (length olist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6075 (error "%s: Expected %d parameters" (verilog-point-text) num-param))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6076 (if (eq max-param nil) (setq max-param num-param))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6077 (or (eq nil max-param)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6078 (>= max-param (length olist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6079 (error "%s: Expected <= %d parameters" (verilog-point-text) max-param))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6080 (nreverse olist)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6081
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6082 (defun verilog-read-decls ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6083 "Compute signal declaration information for the current module at point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6084 Return a array of [outputs inouts inputs wire reg assign const]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6085 (let ((end-mod-point (or (verilog-get-end-of-defun t) (point-max)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6086 (functask 0) (paren 0) (sig-paren 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6087 sigs-in sigs-out sigs-inout sigs-wire sigs-reg sigs-assign sigs-const sigs-gparam
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6088 vec expect-signal keywd newsig rvalue enum io signed typedefed multidim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6089 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6090 (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6091 (setq sigs-const (verilog-read-auto-constants (point) end-mod-point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6092 (while (< (point) end-mod-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6093 ;;(if dbg (setq dbg (cons (format "Pt %s Vec %s Kwd'%s'\n" (point) vec keywd) dbg)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6094 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6095 ((looking-at "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6096 (if (looking-at "[^\n]*synopsys\\s +enum\\s +\\([a-zA-Z0-9_]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6097 (setq enum (match-string 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6098 (search-forward "\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6099 ((looking-at "/\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6100 (forward-char 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6101 (if (looking-at "[^*]*synopsys\\s +enum\\s +\\([a-zA-Z0-9_]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6102 (setq enum (match-string 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6103 (or (search-forward "*/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6104 (error "%s: Unmatched /* */, at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6105 ((looking-at "(\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6106 (forward-char 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6107 (or (looking-at "\\s-*)") ; It's a "always @ (*)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6108 (search-forward "*)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6109 (error "%s: Unmatched (* *), at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6110 ((eq ?\" (following-char))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6111 (or (re-search-forward "[^\\]\"" nil t) ;; don't forward-char first, since we look for a non backslash first
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6112 (error "%s: Unmatched quotes, at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6113 ((eq ?\; (following-char))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6114 (setq vec nil io nil expect-signal nil newsig nil paren 0 rvalue nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6115 (forward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6116 ((eq ?= (following-char))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6117 (setq rvalue t newsig nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6118 (forward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6119 ((and (or rvalue sig-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6120 (cond ((and (eq ?, (following-char))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6121 (eq paren sig-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6122 (setq rvalue nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6123 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6124 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6125 ;; ,'s can occur inside {} & funcs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6126 ((looking-at "[{(]")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6127 (setq paren (1+ paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6128 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6129 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6130 ((looking-at "[})]")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6131 (setq paren (1- paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6132 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6133 (when (< paren sig-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6134 (setq expect-signal nil)) ; ) that ends variables inside v2k arg list
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6135 t))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6136 ((looking-at "\\s-*\\(\\[[^]]+\\]\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6137 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6138 (cond (newsig ; Memory, not just width. Patch last signal added's memory (nth 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6139 (setcar (cdr (cdr (cdr newsig))) (match-string 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6140 (vec ;; Multidimensional
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6141 (setq multidim (cons vec multidim))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6142 (setq vec (verilog-string-replace-matches
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6143 "\\s-+" "" nil nil (match-string 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6144 (t ;; Bit width
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6145 (setq vec (verilog-string-replace-matches
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6146 "\\s-+" "" nil nil (match-string 1))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6147 ;; Normal or escaped identifier -- note we remember the \ if escaped
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6148 ((looking-at "\\s-*\\([a-zA-Z0-9`_$]+\\|\\\\[^ \t\n\f]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6149 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6150 (setq keywd (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6151 (when (string-match "^\\\\" keywd)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6152 (setq keywd (concat keywd " "))) ;; Escaped ID needs space at end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6153 (cond ((equal keywd "input")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6154 (setq vec nil enum nil rvalue nil newsig nil signed nil typedefed nil multidim nil sig-paren paren
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6155 expect-signal 'sigs-in io t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6156 ((equal keywd "output")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6157 (setq vec nil enum nil rvalue nil newsig nil signed nil typedefed nil multidim nil sig-paren paren
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6158 expect-signal 'sigs-out io t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6159 ((equal keywd "inout")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6160 (setq vec nil enum nil rvalue nil newsig nil signed nil typedefed nil multidim nil sig-paren paren
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6161 expect-signal 'sigs-inout io t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6162 ((or (equal keywd "wire")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6163 (equal keywd "tri")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6164 (equal keywd "tri0")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6165 (equal keywd "tri1"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6166 (unless io (setq vec nil enum nil rvalue nil signed nil typedefed nil multidim nil sig-paren paren
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6167 expect-signal 'sigs-wire)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6168 ((or (equal keywd "reg")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6169 (equal keywd "trireg"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6170 (unless io (setq vec nil enum nil rvalue nil signed nil typedefed nil multidim nil sig-paren paren
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6171 expect-signal 'sigs-reg)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6172 ((equal keywd "assign")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6173 (setq vec nil enum nil rvalue nil signed nil typedefed nil multidim nil sig-paren paren
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6174 expect-signal 'sigs-assign))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6175 ((or (equal keywd "supply0")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6176 (equal keywd "supply1")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6177 (equal keywd "supply")
80342
b7bed0a77336 (verilog-read-decls): Fix AUTOINPUT/AUTOOUTPUT mis-including genvars.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80270
diff changeset
6178 (equal keywd "localparam")
b7bed0a77336 (verilog-read-decls): Fix AUTOINPUT/AUTOOUTPUT mis-including genvars.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80270
diff changeset
6179 (equal keywd "genvar"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6180 (unless io (setq vec nil enum nil rvalue nil signed nil typedefed nil multidim nil sig-paren paren
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6181 expect-signal 'sigs-const)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6182 ((or (equal keywd "parameter"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6183 (unless io (setq vec nil enum nil rvalue nil signed nil typedefed nil multidim nil sig-paren paren
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6184 expect-signal 'sigs-gparam)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6185 ((equal keywd "signed")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6186 (setq signed "signed"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6187 ((or (equal keywd "function")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6188 (equal keywd "task"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6189 (setq functask (1+ functask)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6190 ((or (equal keywd "endfunction")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6191 (equal keywd "endtask"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6192 (setq functask (1- functask)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6193 ((or (equal keywd "`ifdef")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6194 (equal keywd "`ifndef"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6195 (setq rvalue t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6196 ((verilog-typedef-name-p keywd)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6197 (setq typedefed keywd))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6198 ((and expect-signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6199 (eq functask 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6200 (not rvalue)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6201 (eq paren sig-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6202 (not (member keywd verilog-keywords)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6203 ;; Add new signal to expect-signal's variable
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6204 (setq newsig (list keywd vec nil nil enum signed typedefed multidim))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6205 (set expect-signal (cons newsig
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6206 (symbol-value expect-signal))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6207 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6208 (forward-char 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6209 (skip-syntax-forward " "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6210 ;; Return arguments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6211 (vector (nreverse sigs-out)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6212 (nreverse sigs-inout)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6213 (nreverse sigs-in)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6214 (nreverse sigs-wire)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6215 (nreverse sigs-reg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6216 (nreverse sigs-assign)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6217 (nreverse sigs-const)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6218 (nreverse sigs-gparam)))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6219
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6220 (eval-when-compile
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6221 ;; Prevent compile warnings; these are let's, not globals
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6222 ;; Do not remove the eval-when-compile
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6223 ;; - we want a error when we are debugging this code if they are refed.
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6224 (defvar sigs-in)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6225 (defvar sigs-inout)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6226 (defvar sigs-out))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6227
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6228
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6229 (defsubst verilog-modi-get-decls (modi)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6230 (verilog-modi-cache-results modi 'verilog-read-decls))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6231
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6232 (defsubst verilog-modi-get-sub-decls (modi)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6233 (verilog-modi-cache-results modi 'verilog-read-sub-decls))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6234
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6235
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6236 ;; Signal reading for given module
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6237 ;; Note these all take modi's - as returned from the
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6238 ;; verilog-modi-current function.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6239 (defsubst verilog-modi-get-outputs (modi)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6240 (aref (verilog-modi-get-decls modi) 0))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6241 (defsubst verilog-modi-get-inouts (modi)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6242 (aref (verilog-modi-get-decls modi) 1))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6243 (defsubst verilog-modi-get-inputs (modi)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6244 (aref (verilog-modi-get-decls modi) 2))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6245 (defsubst verilog-modi-get-wires (modi)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6246 (aref (verilog-modi-get-decls modi) 3))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6247 (defsubst verilog-modi-get-regs (modi)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6248 (aref (verilog-modi-get-decls modi) 4))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6249 (defsubst verilog-modi-get-assigns (modi)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6250 (aref (verilog-modi-get-decls modi) 5))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6251 (defsubst verilog-modi-get-consts (modi)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6252 (aref (verilog-modi-get-decls modi) 6))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6253 (defsubst verilog-modi-get-gparams (modi)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6254 (aref (verilog-modi-get-decls modi) 7))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6255 (defsubst verilog-modi-get-sub-outputs (modi)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6256 (aref (verilog-modi-get-sub-decls modi) 0))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6257 (defsubst verilog-modi-get-sub-inouts (modi)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6258 (aref (verilog-modi-get-sub-decls modi) 1))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6259 (defsubst verilog-modi-get-sub-inputs (modi)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6260 (aref (verilog-modi-get-sub-decls modi) 2))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6261
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6262
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6263 (defun verilog-read-sub-decls-sig (submodi comment port sig vec multidim)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
6264 "For `verilog-read-sub-decls-line', add a signal."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6265 (let (portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6266 (when sig
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6267 (setq port (verilog-symbol-detick-denumber port))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6268 (setq sig (verilog-symbol-detick-denumber sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6269 (if sig (setq sig (verilog-string-replace-matches "^[---+~!|&]+" "" nil nil sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6270 (if vec (setq vec (verilog-symbol-detick-denumber vec)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6271 (if multidim (setq multidim (mapcar `verilog-symbol-detick-denumber multidim)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6272 (unless (or (not sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6273 (equal sig "")) ;; Ignore .foo(1'b1) assignments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6274 (cond ((setq portdata (assoc port (verilog-modi-get-inouts submodi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6275 (setq sigs-inout (cons (list sig vec (concat "To/From " comment) nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6276 (verilog-sig-signed portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6277 (verilog-sig-type portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6278 multidim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6279 sigs-inout)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6280 ((setq portdata (assoc port (verilog-modi-get-outputs submodi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6281 (setq sigs-out (cons (list sig vec (concat "From " comment) nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6282 (verilog-sig-signed portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6283 (verilog-sig-type portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6284 multidim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6285 sigs-out)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6286 ((setq portdata (assoc port (verilog-modi-get-inputs submodi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6287 (setq sigs-in (cons (list sig vec (concat "To " comment) nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6288 (verilog-sig-signed portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6289 (verilog-sig-type portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6290 multidim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6291 sigs-in)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6292 ;; (t -- warning pin isn't defined.) ; Leave for lint tool
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6293 )))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6294
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6295 (defun verilog-read-sub-decls-line (submodi comment)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
6296 "For `verilog-read-sub-decls', read lines of port defs until none match anymore.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6297 Return the list of signals found, using submodi to look up each port."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6298 (let (done port sig vec multidim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6299 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6300 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6301 (while (not done)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6302 ;; Get port name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6303 (cond ((looking-at "\\s-*\\.\\s-*\\([a-zA-Z0-9`_$]*\\)\\s-*(\\s-*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6304 (setq port (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6305 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6306 ((looking-at "\\s-*\\.\\s-*\\(\\\\[^ \t\n\f]*\\)\\s-*(\\s-*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6307 (setq port (concat (match-string 1) " ")) ;; escaped id's need trailing space
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6308 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6309 ((looking-at "\\s-*\\.[^(]*(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6310 (setq port nil) ;; skip this line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6311 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6312 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6313 (setq port nil done t))) ;; Unknown, ignore rest of line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6314 ;; Get signal name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6315 (when port
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6316 (setq multidim nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6317 (cond ((looking-at "\\(\\\\[^ \t\n\f]*\\)\\s-*)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6318 (setq sig (concat (match-string 1) " ") ;; escaped id's need trailing space
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6319 vec nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6320 ; We intentionally ignore (non-escaped) signals with .s in them
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6321 ; this prevents AUTOWIRE etc from noticing hierarchical sigs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6322 ((looking-at "\\([^[({).]*\\)\\s-*)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6323 (setq sig (verilog-string-remove-spaces (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6324 vec nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6325 ((looking-at "\\([^[({).]*\\)\\s-*\\(\\[[^]]+\\]\\)\\s-*)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6326 (setq sig (verilog-string-remove-spaces (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6327 vec (match-string 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6328 ((looking-at "\\([^[({).]*\\)\\s-*/\\*\\(\\[[^*]+\\]\\)\\*/\\s-*)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6329 (setq sig (verilog-string-remove-spaces (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6330 vec nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6331 (let ((parse (match-string 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6332 (while (string-match "^\\(\\[[^]]+\\]\\)\\(.*\\)$" parse)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6333 (when vec (setq multidim (cons vec multidim)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6334 (setq vec (match-string 1 parse))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6335 (setq parse (match-string 2 parse)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6336 ((looking-at "{\\(.*\\)}.*\\s-*)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6337 (let ((mlst (split-string (match-string 1) ","))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6338 mstr)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6339 (while (setq mstr (pop mlst))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6340 ;;(unless noninteractive (message "sig: %s " mstr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6341 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6342 ((string-match "\\(['`a-zA-Z0-9_$]+\\)\\s-*$" mstr)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6343 (setq sig (verilog-string-remove-spaces (match-string 1 mstr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6344 vec nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6345 ;;(unless noninteractive (message "concat sig1: %s %s" mstr (match-string 1 mstr)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6346 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6347 ((string-match "\\([^[({).]+\\)\\s-*\\(\\[[^]]+\\]\\)\\s-*" mstr)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6348 (setq sig (verilog-string-remove-spaces (match-string 1 mstr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6349 vec (match-string 2 mstr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6350 ;;(unless noninteractive (message "concat sig2: '%s' '%s' '%s'" mstr (match-string 1 mstr) (match-string 2 mstr)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6351 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6352 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6353 (setq sig nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6354 ;; Process signals
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6355 (verilog-read-sub-decls-sig submodi comment port sig vec multidim))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6356 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6357 (setq sig nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6358 ;; Process signals
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6359 (verilog-read-sub-decls-sig submodi comment port sig vec multidim))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6360 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6361 (forward-line 1)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6362
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6363 (defun verilog-read-sub-decls ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6364 "Internally parse signals going to modules under this module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6365 Return a array of [ outputs inouts inputs ] signals for modules that are
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6366 instantiated in this module. For example if declare A A (.B(SIG)) and SIG
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6367 is a output, then SIG will be included in the list.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6368
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6369 This only works on instantiations created with /*AUTOINST*/ converted by
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6370 \\[verilog-auto-inst]. Otherwise, it would have to read in the whole
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6371 component library to determine connectivity of the design.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6372
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6373 One work around for this problem is to manually create // Inputs and //
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6374 Outputs comments above subcell signals, for example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6375
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6376 module1 instance1x (
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6377 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6378 .out (out),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6379 // Inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6380 .in (in));"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6381 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6382 (let ((end-mod-point (verilog-get-end-of-defun t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6383 st-point end-inst-point
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6384 ;; below 3 modified by verilog-read-sub-decls-line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6385 sigs-out sigs-inout sigs-in)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6386 (verilog-beg-of-defun)
80355
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
6387 (while (verilog-re-search-forward "\\(/\\*AUTOINST\\*/\\|\\.\\*\\)" end-mod-point t)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6388 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6389 (goto-char (match-beginning 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6390 (unless (verilog-inside-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6391 ;; Attempt to snarf a comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6392 (let* ((submod (verilog-read-inst-module))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6393 (inst (verilog-read-inst-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6394 (comment (concat inst " of " submod ".v")) submodi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6395 (when (setq submodi (verilog-modi-lookup submod t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6396 ;; This could have used a list created by verilog-auto-inst
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6397 ;; However I want it to be runnable even on user's manually added signals
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6398 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6399 (setq end-inst-point (save-excursion (forward-sexp 1) (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6400 st-point (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6401 (while (re-search-forward "\\s *(?\\s *// Outputs" end-inst-point t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6402 (verilog-read-sub-decls-line submodi comment)) ;; Modifies sigs-out
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6403 (goto-char st-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6404 (while (re-search-forward "\\s *// Inouts" end-inst-point t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6405 (verilog-read-sub-decls-line submodi comment)) ;; Modifies sigs-inout
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6406 (goto-char st-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6407 (while (re-search-forward "\\s *// Inputs" end-inst-point t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6408 (verilog-read-sub-decls-line submodi comment)) ;; Modifies sigs-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6409 )))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6410 ;; Combine duplicate bits
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6411 ;;(setq rr (vector sigs-out sigs-inout sigs-in))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6412 (vector (verilog-signals-combine-bus (nreverse sigs-out))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6413 (verilog-signals-combine-bus (nreverse sigs-inout))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6414 (verilog-signals-combine-bus (nreverse sigs-in))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6415
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6416 (defun verilog-read-inst-pins ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
6417 "Return an array of [ pins ] for the current instantiation at point.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6418 For example if declare A A (.B(SIG)) then B will be included in the list."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6419 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6420 (let ((end-mod-point (point)) ;; presume at /*AUTOINST*/ point
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6421 pins pin)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6422 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6423 (while (re-search-forward "\\.\\([^(,) \t\n\f]*\\)\\s-*" end-mod-point t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6424 (setq pin (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6425 (unless (verilog-inside-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6426 (setq pins (cons (list pin) pins))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6427 (when (looking-at "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6428 (forward-sexp 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6429 (vector pins))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6430
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6431 (defun verilog-read-arg-pins ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
6432 "Return an array of [ pins ] for the current argument declaration at point."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6433 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6434 (let ((end-mod-point (point)) ;; presume at /*AUTOARG*/ point
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6435 pins pin)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6436 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6437 (while (re-search-forward "\\([a-zA-Z0-9$_.%`]+\\)" end-mod-point t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6438 (setq pin (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6439 (unless (verilog-inside-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6440 (setq pins (cons (list pin) pins))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6441 (vector pins))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6442
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6443 (defun verilog-read-auto-constants (beg end-mod-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6444 "Return a list of AUTO_CONSTANTs used in the region from BEG to END-MOD-POINT."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6445 ;; Insert new
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6446 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6447 (let (sig-list tpl-end-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6448 (goto-char beg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6449 (while (re-search-forward "\\<AUTO_CONSTANT" end-mod-point t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6450 (if (not (looking-at "\\s *("))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6451 (error "%s: Missing () after AUTO_CONSTANT" (verilog-point-text)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6452 (search-forward "(" end-mod-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6453 (setq tpl-end-pt (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6454 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6455 (forward-sexp 1) ;; Moves to paren that closes argdecl's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6456 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6457 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6458 (while (re-search-forward "\\s-*\\([\"a-zA-Z0-9$_.%`]+\\)\\s-*,*" tpl-end-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6459 (setq sig-list (cons (list (match-string 1) nil nil) sig-list))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6460 sig-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6461
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6462 (defun verilog-read-auto-lisp (start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6463 "Look for and evaluate a AUTO_LISP between START and END."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6464 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6465 (goto-char start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6466 (while (re-search-forward "\\<AUTO_LISP(" end t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6467 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6468 (let* ((beg-pt (prog1 (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6469 (forward-sexp 1))) ;; Closing paren
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6470 (end-pt (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6471 (eval-region beg-pt end-pt nil)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6472
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6473 (eval-when-compile
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6474 ;; Prevent compile warnings; these are let's, not globals
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6475 ;; Do not remove the eval-when-compile
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6476 ;; - we want a error when we are debugging this code if they are refed.
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6477 (defvar sigs-in)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6478 (defvar sigs-out)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6479 (defvar got-sig)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6480 (defvar got-rvalue)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6481 (defvar uses-delayed)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6482 (defvar vector-skip-list))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6483
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6484 (defun verilog-read-always-signals-recurse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6485 (exit-keywd rvalue ignore-next)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6486 "Recursive routine for parentheses/bracket matching.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6487 EXIT-KEYWD is expression to stop at, nil if top level.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6488 RVALUE is true if at right hand side of equal.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6489 IGNORE-NEXT is true to ignore next token, fake from inside case statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6490 (let* ((semi-rvalue (equal "endcase" exit-keywd)) ;; true if after a ; we are looking for rvalue
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6491 keywd last-keywd sig-tolk sig-last-tolk gotend got-sig got-rvalue end-else-check)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6492 ;;(if dbg (setq dbg (concat dbg (format "Recursion %S %S %S\n" exit-keywd rvalue ignore-next))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6493 (while (not (or (eobp) gotend))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6494 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6495 ((looking-at "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6496 (search-forward "\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6497 ((looking-at "/\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6498 (or (search-forward "*/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6499 (error "%s: Unmatched /* */, at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6500 ((looking-at "(\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6501 (or (looking-at "(\\*\\s-*)") ; It's a "always @ (*)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6502 (search-forward "*)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6503 (error "%s: Unmatched (* *), at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6504 (t (setq keywd (buffer-substring-no-properties
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6505 (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6506 (save-excursion (when (eq 0 (skip-chars-forward "a-zA-Z0-9$_.%`"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6507 (forward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6508 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6509 sig-last-tolk sig-tolk
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6510 sig-tolk nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6511 ;;(if dbg (setq dbg (concat dbg (format "\tPt=%S %S\trv=%S in=%S ee=%S\n" (point) keywd rvalue ignore-next end-else-check))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6512 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6513 ((equal keywd "\"")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6514 (or (re-search-forward "[^\\]\"" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6515 (error "%s: Unmatched quotes, at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6516 ;; else at top level loop, keep parsing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6517 ((and end-else-check (equal keywd "else"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6518 ;;(if dbg (setq dbg (concat dbg (format "\tif-check-else %s\n" keywd))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6519 ;; no forward movement, want to see else in lower loop
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6520 (setq end-else-check nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6521 ;; End at top level loop
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6522 ((and end-else-check (looking-at "[^ \t\n\f]"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6523 ;;(if dbg (setq dbg (concat dbg (format "\tif-check-else-other %s\n" keywd))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6524 (setq gotend t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6525 ;; Final statement?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6526 ((and exit-keywd (equal keywd exit-keywd))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6527 (setq gotend t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6528 (forward-char (length keywd)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6529 ;; Standard tokens...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6530 ((equal keywd ";")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6531 (setq ignore-next nil rvalue semi-rvalue)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6532 ;; Final statement at top level loop?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6533 (when (not exit-keywd)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6534 ;;(if dbg (setq dbg (concat dbg (format "\ttop-end-check %s\n" keywd))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6535 (setq end-else-check t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6536 (forward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6537 ((equal keywd "'")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6538 (if (looking-at "'s?[hdxbo][0-9a-fA-F_xz? \t]*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6539 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6540 (forward-char 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6541 ((equal keywd ":") ;; Case statement, begin/end label, x?y:z
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6542 (cond ((equal "endcase" exit-keywd) ;; case x: y=z; statement next
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6543 (setq ignore-next nil rvalue nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6544 ((equal "?" exit-keywd) ;; x?y:z rvalue
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6545 ) ;; NOP
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6546 (got-sig ;; label: statement
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6547 (setq ignore-next nil rvalue semi-rvalue got-sig nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6548 ((not rvalue) ;; begin label
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6549 (setq ignore-next t rvalue nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6550 (forward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6551 ((equal keywd "=")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6552 (if (eq (char-before) ?< )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6553 (setq uses-delayed 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6554 (setq ignore-next nil rvalue t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6555 (forward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6556 ((equal keywd "?")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6557 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6558 (verilog-read-always-signals-recurse ":" rvalue nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6559 ((equal keywd "[")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6560 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6561 (verilog-read-always-signals-recurse "]" t nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6562 ((equal keywd "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6563 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6564 (cond (sig-last-tolk ;; Function call; zap last signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6565 (setq got-sig nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6566 (cond ((equal last-keywd "for")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6567 (verilog-read-always-signals-recurse ";" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6568 (verilog-read-always-signals-recurse ";" t nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6569 (verilog-read-always-signals-recurse ")" nil nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6570 (t (verilog-read-always-signals-recurse ")" t nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6571 ((equal keywd "begin")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6572 (skip-syntax-forward "w_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6573 (verilog-read-always-signals-recurse "end" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6574 ;;(if dbg (setq dbg (concat dbg (format "\tgot-end %s\n" exit-keywd))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6575 (setq ignore-next nil rvalue semi-rvalue)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6576 (if (not exit-keywd) (setq end-else-check t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6577 ((or (equal keywd "case")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6578 (equal keywd "casex")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6579 (equal keywd "casez"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6580 (skip-syntax-forward "w_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6581 (verilog-read-always-signals-recurse "endcase" t nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6582 (setq ignore-next nil rvalue semi-rvalue)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6583 (if (not exit-keywd) (setq gotend t))) ;; top level begin/end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6584 ((string-match "^[$`a-zA-Z_]" keywd) ;; not exactly word constituent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6585 (cond ((or (equal keywd "`ifdef")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6586 (equal keywd "`ifndef"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6587 (setq ignore-next t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6588 ((or ignore-next
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6589 (member keywd verilog-keywords)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6590 (string-match "^\\$" keywd)) ;; PLI task
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6591 (setq ignore-next nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6592 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6593 (setq keywd (verilog-symbol-detick-denumber keywd))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6594 (when got-sig
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6595 (if got-rvalue (setq sigs-in (cons got-sig sigs-in))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6596 (setq sigs-out (cons got-sig sigs-out)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6597 ;;(if dbg (setq dbg (concat dbg (format "\t\tgot-sig=%S rv=%S\n" got-sig got-rvalue))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6598 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6599 (setq got-rvalue rvalue
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6600 got-sig (if (or (not keywd)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6601 (assoc keywd (if got-rvalue sigs-in sigs-out)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6602 nil (list keywd nil nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6603 sig-tolk t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6604 (skip-chars-forward "a-zA-Z0-9$_.%`"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6605 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6606 (forward-char 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6607 ;; End of non-comment token
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6608 (setq last-keywd keywd)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6609 (skip-syntax-forward " "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6610 ;; Append the final pending signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6611 (when got-sig
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6612 (if got-rvalue (setq sigs-in (cons got-sig sigs-in))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6613 (setq sigs-out (cons got-sig sigs-out)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6614 ;;(if dbg (setq dbg (concat dbg (format "\t\tgot-sig=%S rv=%S\n" got-sig got-rvalue))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6615 (setq got-sig nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6616 ;;(if dbg (setq dbg (concat dbg (format "ENDRecursion %s\n" exit-keywd))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6617 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6618
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6619 (defun verilog-read-always-signals ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6620 "Parse always block at point and return list of (outputs inout inputs)."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6621 ;; Insert new
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6622 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6623 (let* (;;(dbg "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6624 sigs-in sigs-out
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6625 uses-delayed) ;; Found signal/rvalue; push if not function
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6626 (search-forward ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6627 (verilog-read-always-signals-recurse nil nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6628 ;;(if dbg (save-excursion (set-buffer (get-buffer-create "*vl-dbg*")) (delete-region (point-min) (point-max)) (insert dbg) (setq dbg "")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6629 ;; Return what was found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6630 (list sigs-out nil sigs-in uses-delayed))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6631
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6632 (defun verilog-read-instants ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6633 "Parse module at point and return list of ( ( file instance ) ... )."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6634 (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6635 (let* ((end-mod-point (verilog-get-end-of-defun t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6636 (state nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6637 (instants-list nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6638 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6639 (while (< (point) end-mod-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6640 ;; Stay at level 0, no comments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6641 (while (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6642 (setq state (parse-partial-sexp (point) end-mod-point 0 t nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6643 (or (> (car state) 0) ; in parens
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6644 (nth 5 state) ; comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6645 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6646 (forward-line 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6647 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6648 (if (looking-at "^\\s-*\\([a-zA-Z0-9`_$]+\\)\\s-+\\([a-zA-Z0-9`_$]+\\)\\s-*(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6649 ;;(if (looking-at "^\\(.+\\)$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6650 (let ((module (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6651 (instant (match-string 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6652 (if (not (member module verilog-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6653 (setq instants-list (cons (list module instant) instants-list)))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6654 (forward-line 1)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6655 instants-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6656
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6657
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6658 (defun verilog-read-auto-template (module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6659 "Look for a auto_template for the instantiation of the given MODULE.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6660 If found returns the signal name connections. Return REGEXP and
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
6661 list of ( (signal_name connection_name)... )."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6662 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6663 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6664 (let ((tpl-regexp "\\([0-9]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6665 (lineno 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6666 (templateno 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6667 tpl-sig-list tpl-wild-list tpl-end-pt rep)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6668 (cond ((or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6669 (re-search-backward (concat "^\\s-*/?\\*?\\s-*" module "\\s-+AUTO_TEMPLATE") nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6670 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6671 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6672 (re-search-forward (concat "^\\s-*/?\\*?\\s-*" module "\\s-+AUTO_TEMPLATE") nil t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6673 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6674 ;; Parse "REGEXP"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6675 ;; We reserve @"..." for future lisp expressions that evaluate once-per-AUTOINST
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6676 (when (looking-at "\\s-*\"\\([^\"]*)\\)\"")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6677 (setq tpl-regexp (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6678 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6679 (search-forward "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6680 ;; Parse lines in the template
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6681 (when verilog-auto-inst-template-numbers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6682 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6683 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6684 (while (search-forward "AUTO_TEMPLATE" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6685 (setq templateno (1+ templateno)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6686 (setq tpl-end-pt (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6687 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6688 (forward-sexp 1) ;; Moves to paren that closes argdecl's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6689 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6690 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6691 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6692 (while (< (point) tpl-end-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6693 (cond ((looking-at "\\s-*\\.\\([a-zA-Z0-9`_$]+\\)\\s-*(\\(.*\\))\\s-*\\(,\\|)\\s-*;\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6694 (setq tpl-sig-list (cons (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6695 (match-string-no-properties 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6696 (match-string-no-properties 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6697 templateno lineno)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6698 tpl-sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6699 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6700 ;; Regexp form??
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6701 ((looking-at
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6702 ;; Regexp bug in xemacs disallows ][ inside [], and wants + last
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6703 "\\s-*\\.\\(\\([a-zA-Z0-9`_$+@^.*?|---]+\\|[][]\\|\\\\[()|]\\)+\\)\\s-*(\\(.*\\))\\s-*\\(,\\|)\\s-*;\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6704 (setq rep (match-string-no-properties 3))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6705 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6706 (setq tpl-wild-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6707 (cons (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6708 (concat "^"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6709 (verilog-string-replace-matches "@" "\\\\([0-9]+\\\\)" nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6710 (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6711 "$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6712 rep
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6713 templateno lineno)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6714 tpl-wild-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6715 ((looking-at "[ \t\f]+")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6716 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6717 ((looking-at "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6718 (setq lineno (1+ lineno))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6719 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6720 ((looking-at "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6721 (search-forward "\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6722 ((looking-at "/\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6723 (forward-char 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6724 (or (search-forward "*/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6725 (error "%s: Unmatched /* */, at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6726 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6727 (error "%s: AUTO_TEMPLATE parsing error: %s"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6728 (verilog-point-text)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6729 (progn (looking-at ".*$") (match-string 0))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6730 ;; Return
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6731 (vector tpl-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6732 (list tpl-sig-list tpl-wild-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6733 ;; If no template found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6734 (t (vector tpl-regexp nil))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6735 ;;(progn (find-file "auto-template.v") (verilog-read-auto-template "ptl_entry"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6736
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6737 (defun verilog-set-define (defname defvalue &optional buffer enumname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6738 "Set the definition DEFNAME to the DEFVALUE in the given BUFFER.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6739 Optionally associate it with the specified enumeration ENUMNAME."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6740 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6741 (set-buffer (or buffer (current-buffer)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6742 (let ((mac (intern (concat "vh-" defname))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6743 ;;(message "Define %s=%s" defname defvalue) (sleep-for 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6744 ;; Need to define to a constant if no value given
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6745 (set (make-variable-buffer-local mac)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6746 (if (equal defvalue "") "1" defvalue)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6747 (if enumname
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6748 (let ((enumvar (intern (concat "venum-" enumname))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6749 ;;(message "Define %s=%s" defname defvalue) (sleep-for 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6750 (make-variable-buffer-local enumvar)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6751 (add-to-list enumvar defname)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6752
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6753 (defun verilog-read-defines (&optional filename recurse subcall)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6754 "Read `defines and parameters for the current file, or optional FILENAME.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6755 If the filename is provided, `verilog-library-flags' will be used to
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6756 resolve it. If optional RECURSE is non-nil, recurse through `includes.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6757
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6758 Parameters must be simple assignments to constants, or have their own
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6759 \"parameter\" label rather than a list of parameters. Thus:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6760
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6761 parameter X = 5, Y = 10; // Ok
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6762 parameter X = {1'b1, 2'h2}; // Ok
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6763 parameter X = {1'b1, 2'h2}, Y = 10; // Bad, make into 2 parameter lines
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6764
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6765 Defines must be simple text substitutions, one on a line, starting
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6766 at the beginning of the line. Any ifdefs or multiline comments around the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6767 define are ignored.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6768
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6769 Defines are stored inside Emacs variables using the name vh-{definename}.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6770
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6771 This function is useful for setting vh-* variables. The file variables
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6772 feature can be used to set defines that `verilog-mode' can see; put at the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6773 *END* of your file something like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6774
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6775 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6776 // vh-macro:\"macro_definition\"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6777 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6778
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6779 If macros are defined earlier in the same file and you want their values,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6780 you can read them automatically (provided `enable-local-eval' is on):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6781
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6782 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6783 // eval:(verilog-read-defines)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6784 // eval:(verilog-read-defines \"group_standard_includes.v\")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6785 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6786
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6787 Note these are only read when the file is first visited, you must use
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6788 \\[find-alternate-file] RET to have these take effect after editing them!
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6789
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6790 If you want to disable the \"Process `eval' or hook local variables\"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6791 warning message, you need to add to your .emacs file:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6792
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6793 (setq enable-local-eval t)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6794 (let ((origbuf (current-buffer)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6795 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6796 (unless subcall (verilog-getopt-flags))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6797 (when filename
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6798 (let ((fns (verilog-library-filenames filename (buffer-file-name))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6799 (if fns
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6800 (set-buffer (find-file-noselect (car fns)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6801 (error (concat (verilog-point-text)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6802 ": Can't find verilog-read-defines file: " filename)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6803 (when recurse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6804 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6805 (while (re-search-forward "^\\s-*`include\\s-+\\([^ \t\n\f]+\\)" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6806 (let ((inc (verilog-string-replace-matches "\"" "" nil nil (match-string-no-properties 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6807 (unless (verilog-inside-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6808 (verilog-read-defines inc recurse t)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6809 ;; Read `defines
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6810 ;; note we don't use verilog-re... it's faster this way, and that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6811 ;; function has problems when comments are at the end of the define
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6812 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6813 (while (re-search-forward "^\\s-*`define\\s-+\\([a-zA-Z0-9_$]+\\)\\s-+\\(.*\\)$" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6814 (let ((defname (match-string-no-properties 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6815 (defvalue (match-string-no-properties 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6816 (setq defvalue (verilog-string-replace-matches "\\s-*/[/*].*$" "" nil nil defvalue))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6817 (verilog-set-define defname defvalue origbuf)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6818 ;; Hack: Read parameters
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6819 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6820 (while (re-search-forward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6821 "^\\s-*\\(parameter\\|localparam\\)\\(\\(\\s-*\\[[^]]*\\]\\|\\)\\s-+\\([a-zA-Z0-9_$]+\\)\\s-*=\\s-*\\([^;,]*\\),?\\|\\)\\s-*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6822 (let ((var (match-string-no-properties 4))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6823 (val (match-string-no-properties 5))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6824 enumname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6825 ;; The primary way of getting defines is verilog-read-decls
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6826 ;; However, that isn't called yet for included files, so we'll add another scheme
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6827 (if (looking-at "[^\n]*synopsys\\s +enum\\s +\\([a-zA-Z0-9_]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6828 (setq enumname (match-string-no-properties 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6829 (if var
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6830 (verilog-set-define var val origbuf enumname))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6831 (forward-comment 999)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6832 (while (looking-at "\\s-*,?\\s-*\\([a-zA-Z0-9_$]+\\)\\s-*=\\s-*\\([^;,]*\\),?\\s-*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6833 (verilog-set-define (match-string-no-properties 1) (match-string-no-properties 2) origbuf enumname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6834 (goto-char (match-end 0))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6835 (forward-comment 999)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6836
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6837 (defun verilog-read-includes ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6838 "Read `includes for the current file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6839 This will find all of the `includes which are at the beginning of lines,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6840 ignoring any ifdefs or multiline comments around them.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6841 `verilog-read-defines' is then performed on the current and each included
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6842 file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6843
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6844 It is often useful put at the *END* of your file something like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6845
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6846 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6847 // eval:(verilog-read-defines)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6848 // eval:(verilog-read-includes)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6849 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6850
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6851 Note includes are only read when the file is first visited, you must use
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6852 \\[find-alternate-file] RET to have these take effect after editing them!
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6853
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6854 It is good to get in the habit of including all needed files in each .v
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6855 file that needs it, rather than waiting for compile time. This will aid
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6856 this process, Verilint, and readability. To prevent defining the same
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6857 variable over and over when many modules are compiled together, put a test
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6858 around the inside each include file:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6859
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6860 foo.v (a include):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6861 `ifdef _FOO_V // include if not already included
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6862 `else
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6863 `define _FOO_V
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6864 ... contents of file
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6865 `endif // _FOO_V"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6866 ;;slow: (verilog-read-defines nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6867 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6868 (verilog-getopt-flags)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6869 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6870 (while (re-search-forward "^\\s-*`include\\s-+\\([^ \t\n\f]+\\)" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6871 (let ((inc (verilog-string-replace-matches "\"" "" nil nil (match-string 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6872 (verilog-read-defines inc nil t)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6873
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6874 (defun verilog-read-signals (&optional start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6875 "Return a simple list of all possible signals in the file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6876 Bounded by optional region from START to END. Overly aggressive but fast.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
6877 Some macros and such are also found and included. For dinotrace.el."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6878 (let (sigs-all keywd)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6879 (progn;save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6880 (goto-char (or start (point-min)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6881 (setq end (or end (point-max)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6882 (while (re-search-forward "[\"/a-zA-Z_.%`]" end t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6883 (forward-char -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6884 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6885 ((looking-at "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6886 (search-forward "\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6887 ((looking-at "/\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6888 (search-forward "*/"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6889 ((looking-at "(\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6890 (or (looking-at "(\\*\\s-*)") ; It's a "always @ (*)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6891 (search-forward "*)")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6892 ((eq ?\" (following-char))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6893 (re-search-forward "[^\\]\"")) ;; don't forward-char first, since we look for a non backslash first
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6894 ((looking-at "\\s-*\\([a-zA-Z0-9$_.%`]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6895 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6896 (setq keywd (match-string-no-properties 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6897 (or (member keywd verilog-keywords)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6898 (member keywd sigs-all)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6899 (setq sigs-all (cons keywd sigs-all))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6900 (t (forward-char 1))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6901 ;; Return list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6902 sigs-all)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6903
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6904 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6905 ;; Argument file parsing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6906 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6907
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6908 (defun verilog-getopt (arglist)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6909 "Parse -f, -v etc arguments in ARGLIST list or string."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6910 (unless (listp arglist) (setq arglist (list arglist)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6911 (let ((space-args '())
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6912 arg next-param)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6913 ;; Split on spaces, so users can pass whole command lines
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6914 (while arglist
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6915 (setq arg (car arglist)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6916 arglist (cdr arglist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6917 (while (string-match "^\\([^ \t\n\f]+\\)[ \t\n\f]*\\(.*$\\)" arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6918 (setq space-args (append space-args
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6919 (list (match-string-no-properties 1 arg))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6920 (setq arg (match-string 2 arg))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6921 ;; Parse arguments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6922 (while space-args
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6923 (setq arg (car space-args)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6924 space-args (cdr space-args))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6925 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6926 ;; Need another arg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6927 ((equal arg "-f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6928 (setq next-param arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6929 ((equal arg "-v")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6930 (setq next-param arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6931 ((equal arg "-y")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6932 (setq next-param arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6933 ;; +libext+(ext1)+(ext2)...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6934 ((string-match "^\\+libext\\+\\(.*\\)" arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6935 (setq arg (match-string 1 arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6936 (while (string-match "\\([^+]+\\)\\+?\\(.*\\)" arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6937 (verilog-add-list-unique `verilog-library-extensions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6938 (match-string 1 arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6939 (setq arg (match-string 2 arg))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6940 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6941 ((or (string-match "^-D\\([^+=]*\\)[+=]\\(.*\\)" arg) ;; -Ddefine=val
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6942 (string-match "^-D\\([^+=]*\\)\\(\\)" arg) ;; -Ddefine
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6943 (string-match "^\\+define\\([^+=]*\\)[+=]\\(.*\\)" arg) ;; +define+val
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6944 (string-match "^\\+define\\([^+=]*\\)\\(\\)" arg)) ;; +define+define
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6945 (verilog-set-define (match-string 1 arg) (match-string 2 arg)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6946 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6947 ((or (string-match "^\\+incdir\\+\\(.*\\)" arg) ;; +incdir+dir
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6948 (string-match "^-I\\(.*\\)" arg)) ;; -Idir
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6949 (verilog-add-list-unique `verilog-library-directories
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6950 (match-string 1 arg)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6951 ;; Ignore
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6952 ((equal "+librescan" arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6953 ((string-match "^-U\\(.*\\)" arg)) ;; -Udefine
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6954 ;; Second parameters
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6955 ((equal next-param "-f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6956 (setq next-param nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6957 (verilog-getopt-file arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6958 ((equal next-param "-v")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6959 (setq next-param nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6960 (verilog-add-list-unique `verilog-library-files arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6961 ((equal next-param "-y")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6962 (setq next-param nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6963 (verilog-add-list-unique `verilog-library-directories arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6964 ;; Filename
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6965 ((string-match "^[^-+]" arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6966 (verilog-add-list-unique `verilog-library-files arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6967 ;; Default - ignore; no warning
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6968 ))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6969 ;;(verilog-getopt (list "+libext+.a+.b" "+incdir+foodir" "+define+a+aval" "-f" "otherf" "-v" "library" "-y" "dir"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6970
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6971 (defun verilog-getopt-file (filename)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
6972 "Read Verilog options from the specified FILENAME."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6973 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6974 (let ((fns (verilog-library-filenames filename (buffer-file-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6975 (orig-buffer (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6976 line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6977 (if fns
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6978 (set-buffer (find-file-noselect (car fns)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6979 (error (concat (verilog-point-text)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6980 "Can't find verilog-getopt-file -f file: " filename)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6981 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6982 (while (not (eobp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6983 (setq line (buffer-substring (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6984 (save-excursion (end-of-line) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6985 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6986 (when (string-match "//" line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6987 (setq line (substring line 0 (match-beginning 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6988 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6989 (set-buffer orig-buffer) ; Variables are buffer-local, so need right context.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6990 (verilog-getopt line))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6991
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6992 (defun verilog-getopt-flags ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6993 "Convert `verilog-library-flags' into standard library variables."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6994 ;; If the flags are local, then all the outputs should be local also
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6995 (when (local-variable-p `verilog-library-flags (current-buffer))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6996 (mapc 'make-local-variable '(verilog-library-extensions
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6997 verilog-library-directories
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6998 verilog-library-files
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6999 verilog-library-flags)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7000 ;; Allow user to customize
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7001 (run-hooks 'verilog-before-getopt-flags-hook)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7002 ;; Process arguments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7003 (verilog-getopt verilog-library-flags)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7004 ;; Allow user to customize
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7005 (run-hooks 'verilog-getopt-flags-hook))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7006
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7007 (defun verilog-add-list-unique (varref object)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7008 "Append to VARREF list the given OBJECT,
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7009 unless it is already a member of the variable's list."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7010 (unless (member object (symbol-value varref))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7011 (set varref (append (symbol-value varref) (list object))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7012 varref)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7013 ;;(progn (setq l '()) (verilog-add-list-unique `l "a") (verilog-add-list-unique `l "a") l)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7014
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7015
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7016 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7017 ;; Module name lookup
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7018 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7019
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7020 (defun verilog-module-inside-filename-p (module filename)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7021 "Return point if MODULE is specified inside FILENAME, else nil.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7022 Allows version control to check out the file if need be."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7023 (and (or (file-exists-p filename)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7024 (and (fboundp 'vc-backend)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7025 (vc-backend filename)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7026 (let (pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7027 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7028 (set-buffer (find-file-noselect filename))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7029 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7030 (while (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7031 ;; It may be tempting to look for verilog-defun-re, don't, it slows things down a lot!
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7032 (verilog-re-search-forward-quick "\\<module\\>" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7033 (verilog-re-search-forward-quick "[(;]" nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7034 (if (equal module (verilog-read-module-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7035 (setq pt (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7036 pt))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7037
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7038 (defun verilog-is-number (symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7039 "Return true if SYMBOL is number-like."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7040 (or (string-match "^[0-9 \t:]+$" symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7041 (string-match "^[---]*[0-9]+$" symbol)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7042 (string-match "^[0-9 \t]+'s?[hdxbo][0-9a-fA-F_xz? \t]*$" symbol)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7043
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7044 (defun verilog-symbol-detick (symbol wing-it)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7045 "Return an expanded SYMBOL name without any defines.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7046 If the variable vh-{symbol} is defined, return that value.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7047 If undefined, and WING-IT, return just SYMBOL without the tick, else nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7048 (while (and symbol (string-match "^`" symbol))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7049 (setq symbol (substring symbol 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7050 (setq symbol
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7051 (if (boundp (intern (concat "vh-" symbol)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7052 ;; Emacs has a bug where boundp on a buffer-local
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7053 ;; variable in only one buffer returns t in another.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7054 ;; This can confuse, so check for nil.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7055 (let ((val (eval (intern (concat "vh-" symbol)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7056 (if (eq val nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7057 (if wing-it symbol nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7058 val))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7059 (if wing-it symbol nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7060 symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7061 ;;(verilog-symbol-detick "`mod" nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7062
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7063 (defun verilog-symbol-detick-denumber (symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7064 "Return SYMBOL with defines converted and any numbers dropped to nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7065 (when (string-match "^`" symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7066 ;; This only will work if the define is a simple signal, not
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7067 ;; something like a[b]. Sorry, it should be substituted into the parser
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7068 (setq symbol
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7069 (verilog-string-replace-matches
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7070 "\[[^0-9: \t]+\]" "" nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7071 (or (verilog-symbol-detick symbol nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7072 (if verilog-auto-sense-defines-constant
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7073 "0"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7074 symbol)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7075 (if (verilog-is-number symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7076 nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7077 symbol))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7078
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7079 (defun verilog-symbol-detick-text (text)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7080 "Return TEXT without any known defines.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7081 If the variable vh-{symbol} is defined, substitute that value."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7082 (let ((ok t) symbol val)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7083 (while (and ok (string-match "`\\([a-zA-Z0-9_]+\\)" text))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7084 (setq symbol (match-string 1 text))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7085 (message symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7086 (cond ((and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7087 (boundp (intern (concat "vh-" symbol)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7088 ;; Emacs has a bug where boundp on a buffer-local
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7089 ;; variable in only one buffer returns t in another.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7090 ;; This can confuse, so check for nil.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7091 (setq val (eval (intern (concat "vh-" symbol)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7092 (setq text (replace-match val nil nil text)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7093 (t (setq ok nil)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7094 text)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7095 ;;(progn (setq vh-mod "`foo" vh-foo "bar") (verilog-symbol-detick-text "bar `mod `undefed"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7096
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7097 (defun verilog-expand-dirnames (&optional dirnames)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7098 "Return a list of existing directories given a list of wildcarded DIRNAMES.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7099 Or, just the existing dirnames themselves if there are no wildcards."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7100 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7101 (unless dirnames (error "`verilog-library-directories' should include at least '.'"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7102 (setq dirnames (reverse dirnames)) ; not nreverse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7103 (let ((dirlist nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7104 pattern dirfile dirfiles dirname root filename rest)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7105 (while dirnames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7106 (setq dirname (substitute-in-file-name (car dirnames))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7107 dirnames (cdr dirnames))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7108 (cond ((string-match (concat "^\\(\\|[/\\]*[^*?]*[/\\]\\)" ;; root
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7109 "\\([^/\\]*[*?][^/\\]*\\)" ;; filename with *?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7110 "\\(.*\\)") ;; rest
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7111 dirname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7112 (setq root (match-string 1 dirname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7113 filename (match-string 2 dirname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7114 rest (match-string 3 dirname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7115 pattern filename)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7116 ;; now replace those * and ? with .+ and .
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7117 ;; use ^ and /> to get only whole file names
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7118 ;;verilog-string-replace-matches
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7119 (setq pattern (verilog-string-replace-matches "[*]" ".+" nil nil pattern)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7120 pattern (verilog-string-replace-matches "[?]" "." nil nil pattern)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7121
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7122 ;; Unfortunately allows abc/*/rtl to match abc/rtl
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7123 ;; because abc/.. shows up in dirfiles. Solutions welcome.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7124 dirfiles (if (file-directory-p root) ; Ignore version control external
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7125 (directory-files root t pattern nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7126 (while dirfiles
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7127 (setq dirfile (expand-file-name (concat (car dirfiles) rest))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7128 dirfiles (cdr dirfiles))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7129 (if (file-directory-p dirfile)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7130 (setq dirlist (cons dirfile dirlist)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7131 ;; Defaults
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7132 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7133 (if (file-directory-p dirname)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7134 (setq dirlist (cons dirname dirlist))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7135 dirlist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7136 ;;(verilog-expand-dirnames (list "." ".." "nonexist" "../*" "/home/wsnyder/*/v"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7137
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7138 (defun verilog-library-filenames (filename current &optional check-ext)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7139 "Return a search path to find the given FILENAME name.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7140 Uses the CURRENT filename, `verilog-library-directories' and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7141 `verilog-library-extensions' variables to build the path.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7142 With optional CHECK-EXT also check `verilog-library-extensions'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7143 (let ((ckdir (verilog-expand-dirnames verilog-library-directories))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7144 fn outlist)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7145 (while ckdir
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7146 (let ((ckext (if check-ext verilog-library-extensions `(""))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7147 (while ckext
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7148 (setq fn (expand-file-name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7149 (concat filename (car ckext))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7150 (expand-file-name (car ckdir) (file-name-directory current))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7151 (if (file-exists-p fn)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7152 (setq outlist (cons fn outlist)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7153 (setq ckext (cdr ckext))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7154 (setq ckdir (cdr ckdir)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7155 (nreverse outlist)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7156
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7157 (defun verilog-module-filenames (module current)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7158 "Return a search path to find the given MODULE name.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7159 Uses the CURRENT filename, `verilog-library-extensions',
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7160 `verilog-library-directories' and `verilog-library-files'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7161 variables to build the path."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7162 ;; Return search locations for it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7163 (append (list current) ; first, current buffer
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7164 (verilog-library-filenames module current t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7165 verilog-library-files)) ; finally, any libraries
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7166
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7167 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7168 ;; Module Information
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7169 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7170 ;; Many of these functions work on "modi" a module information structure
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7171 ;; A modi is: [module-name-string file-name begin-point]
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7172
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7173 (defvar verilog-cache-enabled t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7174 "If true, enable caching of signals, etc. Set to nil for debugging to make things SLOW!")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7175
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7176 (defvar verilog-modi-cache-list nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7177 "Cache of ((Module Function) Buf-Tick Buf-Modtime Func-Returns)...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7178 For speeding up verilog-modi-get-* commands.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7179 Buffer-local.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7180
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7181 (make-variable-buffer-local 'verilog-modi-cache-list)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7182
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7183 (defvar verilog-modi-cache-preserve-tick nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7184 "Modification tick after which the cache is still considered valid.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7185 Use `verilog-preserve-cache' to set it.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7186 (defvar verilog-modi-cache-preserve-buffer nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7187 "Modification tick after which the cache is still considered valid.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7188 Use `verilog-preserve-cache' to set it.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7189
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7190 (defun verilog-modi-current ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7191 "Return the modi structure for the module currently at point."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7192 (let* (name pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7193 ;; read current module's name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7194 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7195 (verilog-re-search-backward-quick verilog-defun-re nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7196 (verilog-re-search-forward-quick "(" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7197 (setq name (verilog-read-module-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7198 (setq pt (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7199 ;; return
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7200 (vector name (or (buffer-file-name) (current-buffer)) pt)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7201
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7202 (defvar verilog-modi-lookup-last-mod nil "Cache of last module looked up.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7203 (defvar verilog-modi-lookup-last-modi nil "Cache of last modi returned.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7204 (defvar verilog-modi-lookup-last-current nil "Cache of last `current-buffer' looked up.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7205 (defvar verilog-modi-lookup-last-tick nil "Cache of last `buffer-modified-tick' looked up.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7206
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7207 (defun verilog-modi-lookup (module allow-cache &optional ignore-error)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7208 "Find the file and point at which MODULE is defined.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7209 If ALLOW-CACHE is set, check and remember cache of previous lookups.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7210 Return modi if successful, else print message unless IGNORE-ERROR is true."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7211 (let* ((current (or (buffer-file-name) (current-buffer))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7212 (cond ((and verilog-modi-lookup-last-modi
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7213 verilog-cache-enabled
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7214 allow-cache
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7215 (equal verilog-modi-lookup-last-mod module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7216 (equal verilog-modi-lookup-last-current current)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7217 (equal verilog-modi-lookup-last-tick (buffer-modified-tick)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7218 ;; ok as is
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7219 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7220 (t (let* ((realmod (verilog-symbol-detick module t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7221 (orig-filenames (verilog-module-filenames realmod current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7222 (filenames orig-filenames)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7223 pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7224 (while (and filenames (not pt))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7225 (if (not (setq pt (verilog-module-inside-filename-p realmod (car filenames))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7226 (setq filenames (cdr filenames))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7227 (cond (pt (setq verilog-modi-lookup-last-modi
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7228 (vector realmod (car filenames) pt)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7229 (t (setq verilog-modi-lookup-last-modi nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7230 (or ignore-error
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7231 (error (concat (verilog-point-text)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7232 ": Can't locate " module " module definition"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7233 (if (not (equal module realmod))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7234 (concat " (Expanded macro to " realmod ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7235 "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7236 "\n Check the verilog-library-directories variable."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7237 "\n I looked in (if not listed, doesn't exist):\n\t"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7238 (mapconcat 'concat orig-filenames "\n\t"))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7239 (setq verilog-modi-lookup-last-mod module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7240 verilog-modi-lookup-last-current current
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7241 verilog-modi-lookup-last-tick (buffer-modified-tick)))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7242 verilog-modi-lookup-last-modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7243
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7244 (defsubst verilog-modi-name (modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7245 (aref modi 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7246 (defsubst verilog-modi-file-or-buffer (modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7247 (aref modi 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7248 (defsubst verilog-modi-point (modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7249 (aref modi 2))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7250
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7251 (defun verilog-modi-filename (modi)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7252 "Filename of MODI, or name of buffer if it's never been saved."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7253 (if (bufferp (verilog-modi-file-or-buffer modi))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7254 (or (buffer-file-name (verilog-modi-file-or-buffer modi))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7255 (buffer-name (verilog-modi-file-or-buffer modi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7256 (verilog-modi-file-or-buffer modi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7257
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7258 (defun verilog-modi-goto (modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7259 "Move point/buffer to specified MODI."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7260 (or modi (error "Passed unfound modi to goto, check earlier"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7261 (set-buffer (if (bufferp (verilog-modi-file-or-buffer modi))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7262 (verilog-modi-file-or-buffer modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7263 (find-file-noselect (verilog-modi-file-or-buffer modi))))
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7264 (or (equal major-mode `verilog-mode) ;; Put into Verilog mode to get syntax
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7265 (verilog-mode))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7266 (goto-char (verilog-modi-point modi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7267
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7268 (defun verilog-goto-defun-file (module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7269 "Move point to the file at which a given MODULE is defined."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7270 (interactive "sGoto File for Module: ")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7271 (let* ((modi (verilog-modi-lookup module nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7272 (when modi
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7273 (verilog-modi-goto modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7274 (switch-to-buffer (current-buffer)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7275
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7276 (defun verilog-modi-cache-results (modi function)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7277 "Run on MODI the given FUNCTION. Locate the module in a file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7278 Cache the output of function so next call may have faster access."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7279 (let (func-returns fass)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7280 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7281 (verilog-modi-goto modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7282 (if (and (setq fass (assoc (list (verilog-modi-name modi) function)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7283 verilog-modi-cache-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7284 ;; Destroy caching when incorrect; Modified or file changed
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7285 (not (and verilog-cache-enabled
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7286 (or (equal (buffer-modified-tick) (nth 1 fass))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7287 (and verilog-modi-cache-preserve-tick
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7288 (<= verilog-modi-cache-preserve-tick (nth 1 fass))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7289 (equal verilog-modi-cache-preserve-buffer (current-buffer))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7290 (equal (visited-file-modtime) (nth 2 fass)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7291 (setq verilog-modi-cache-list nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7292 fass nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7293 (cond (fass
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7294 ;; Found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7295 (setq func-returns (nth 3 fass)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7296 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7297 ;; Read from file
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7298 ;; Clear then restore any hilighting to make emacs19 happy
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7299 (let ((fontlocked (when (and (boundp 'font-lock-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7300 font-lock-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7301 (font-lock-mode nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7302 t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7303 (setq func-returns (funcall function))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7304 (when fontlocked (font-lock-mode t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7305 ;; Cache for next time
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7306 (setq verilog-modi-cache-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7307 (cons (list (list (verilog-modi-name modi) function)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7308 (buffer-modified-tick)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7309 (visited-file-modtime)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7310 func-returns)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7311 verilog-modi-cache-list)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7312 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7313 func-returns))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7314
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7315 (defun verilog-modi-cache-add (modi function element sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7316 "Add function return results to the module cache.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7317 Update MODI's cache for given FUNCTION so that the return ELEMENT of that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7318 function now contains the additional SIG-LIST parameters."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7319 (let (fass)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7320 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7321 (verilog-modi-goto modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7322 (if (setq fass (assoc (list (verilog-modi-name modi) function)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7323 verilog-modi-cache-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7324 (let ((func-returns (nth 3 fass)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7325 (aset func-returns element
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7326 (append sig-list (aref func-returns element))))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7327
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7328 (defmacro verilog-preserve-cache (&rest body)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7329 "Execute the BODY forms, allowing cache preservation within BODY.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7330 This means that changes to the buffer will not result in the cache being
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7331 flushed. If the changes affect the modsig state, they must call the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7332 modsig-cache-add-* function, else the results of later calls may be
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7333 incorrect. Without this, changes are assumed to be adding/removing signals
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7334 and invalidating the cache."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7335 `(let ((verilog-modi-cache-preserve-tick (buffer-modified-tick))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7336 (verilog-modi-cache-preserve-buffer (current-buffer)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7337 (progn ,@body)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7338
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7339
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7340 (defun verilog-signals-matching-enum (in-list enum)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7341 "Return all signals in IN-LIST matching the given ENUM."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7342 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7343 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7344 (if (equal (verilog-sig-enum (car in-list)) enum)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7345 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7346 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7347 ;; New scheme
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7348 (let* ((enumvar (intern (concat "venum-" enum)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7349 (enumlist (and (boundp enumvar) (eval enumvar))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7350 (while enumlist
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7351 (add-to-list 'out-list (list (car enumlist)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7352 (setq enumlist (cdr enumlist))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7353 (nreverse out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7354
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7355 (defun verilog-signals-not-matching-regexp (in-list regexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7356 "Return all signals in IN-LIST not matching the given REGEXP, if non-nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7357 (if (not regexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7358 in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7359 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7360 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7361 (if (not (string-match regexp (verilog-sig-name (car in-list))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7362 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7363 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7364 (nreverse out-list))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7365
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7366 ;; Combined
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7367 (defun verilog-modi-get-signals (modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7368 (append
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7369 (verilog-modi-get-outputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7370 (verilog-modi-get-inouts modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7371 (verilog-modi-get-inputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7372 (verilog-modi-get-wires modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7373 (verilog-modi-get-regs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7374 (verilog-modi-get-assigns modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7375 (verilog-modi-get-consts modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7376 (verilog-modi-get-gparams modi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7377
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7378 (defun verilog-modi-get-ports (modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7379 (append
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7380 (verilog-modi-get-outputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7381 (verilog-modi-get-inouts modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7382 (verilog-modi-get-inputs modi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7383
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7384 (defsubst verilog-modi-cache-add-outputs (modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7385 (verilog-modi-cache-add modi 'verilog-read-decls 0 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7386 (defsubst verilog-modi-cache-add-inouts (modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7387 (verilog-modi-cache-add modi 'verilog-read-decls 1 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7388 (defsubst verilog-modi-cache-add-inputs (modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7389 (verilog-modi-cache-add modi 'verilog-read-decls 2 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7390 (defsubst verilog-modi-cache-add-wires (modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7391 (verilog-modi-cache-add modi 'verilog-read-decls 3 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7392 (defsubst verilog-modi-cache-add-regs (modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7393 (verilog-modi-cache-add modi 'verilog-read-decls 4 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7394
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7395 (defun verilog-signals-from-signame (signame-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7396 "Return signals in standard form from SIGNAME-LIST, a simple list of signal names."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7397 (mapcar (function (lambda (name) (list name nil nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7398 signame-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7399
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7400 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7401 ;; Auto creation utilities
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7402 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7403
80355
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
7404 (defun verilog-auto-re-search-do (search-for func)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
7405 "Search for the given auto text regexp SEARCH-FOR, and perform FUNC where it occurs."
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
7406 (goto-char (point-min))
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
7407 (while (verilog-re-search-forward search-for nil t)
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
7408 (funcall func)))
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
7409
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7410 (defun verilog-auto-search-do (search-for func)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7411 "Search for the given auto text SEARCH-FOR, and perform FUNC where it occurs."
80355
35dfb85c0e6b (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80342
diff changeset
7412 (verilog-auto-re-search-do (regexp-quote search-for) func))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7413
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7414 (defun verilog-insert-one-definition (sig type indent-pt)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7415 "Print out a definition for SIG of the given TYPE,
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7416 with appropriate INDENT-PT indentation."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7417 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7418 (insert type)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7419 (when (verilog-sig-signed sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7420 (insert " " (verilog-sig-signed sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7421 (when (verilog-sig-multidim sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7422 (insert " " (verilog-sig-multidim-string sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7423 (when (verilog-sig-bits sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7424 (insert " " (verilog-sig-bits sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7425 (indent-to (max 24 (+ indent-pt 16)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7426 (unless (= (char-syntax (preceding-char)) ?\ )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7427 (insert " ")) ; Need space between "]name" if indent-to did nothing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7428 (insert (verilog-sig-name sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7429
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7430 (defun verilog-insert-definition (sigs direction indent-pt v2k &optional dont-sort)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7431 "Print out a definition for a list of SIGS of the given DIRECTION,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7432 with appropriate INDENT-PT indentation. If V2K, use Verilog 2001 I/O
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7433 format. Sort unless DONT-SORT. DIRECTION is normally wire/reg/output."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7434 (or dont-sort
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7435 (setq sigs (sort (copy-alist sigs) `verilog-signals-sort-compare)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7436 (while sigs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7437 (let ((sig (car sigs)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7438 (verilog-insert-one-definition
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7439 sig
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7440 ;; Want "type x" or "output type x", not "wire type x"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7441 (cond ((verilog-sig-type sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7442 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7443 (if (not (equal direction "wire"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7444 (concat direction " "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7445 (verilog-sig-type sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7446 (t direction))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7447 indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7448 (insert (if v2k "," ";"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7449 (if (or (not (verilog-sig-comment sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7450 (equal "" (verilog-sig-comment sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7451 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7452 (indent-to (max 48 (+ indent-pt 40)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7453 (insert (concat "// " (verilog-sig-comment sig) "\n")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7454 (setq sigs (cdr sigs)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7455
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7456 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7457 (if (not (boundp 'indent-pt))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7458 (defvar indent-pt nil "Local used by insert-indent")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7459
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7460 (defun verilog-insert-indent (&rest stuff)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7461 "Indent to position stored in local `indent-pt' variable, then insert STUFF.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7462 Presumes that any newlines end a list element."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7463 (let ((need-indent t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7464 (while stuff
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7465 (if need-indent (indent-to indent-pt))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7466 (setq need-indent nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7467 (insert (car stuff))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7468 (setq need-indent (string-match "\n$" (car stuff))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7469 stuff (cdr stuff)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7470 ;;(let ((indent-pt 10)) (verilog-insert-indent "hello\n" "addon" "there\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7471
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7472 (defun verilog-repair-open-comma ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7473 "If backwards-from-point is other than a open parenthesis insert comma."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7474 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7475 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7476 (when (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7477 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7478 (and (not (looking-at "[(,]"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7479 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7480 (verilog-re-search-backward "[(`]" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7481 (looking-at "("))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7482 (insert ","))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7483
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7484 (defun verilog-repair-close-comma ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7485 "If point is at a comma followed by a close parenthesis, fix it.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7486 This repairs those mis-inserted by a AUTOARG."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7487 ;; It would be much nicer if Verilog allowed extra commas like Perl does!
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7488 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7489 (verilog-forward-close-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7490 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7491 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7492 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7493 (when (looking-at ",")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7494 (delete-char 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7495
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7496 (defun verilog-get-list (start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7497 "Return the elements of a comma separated list between START and END."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7498 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7499 (let ((my-list (list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7500 my-string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7501 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7502 (while (< (point) end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7503 (when (re-search-forward "\\([^,{]+\\)" end t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7504 (setq my-string (verilog-string-remove-spaces (match-string 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7505 (setq my-list (nconc my-list (list my-string) ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7506 (goto-char (match-end 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7507 my-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7508
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7509 (defun verilog-make-width-expression (range-exp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7510 "Return an expression calculating the length of a range [x:y] in RANGE-EXP."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7511 ;; strip off the []
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7512 (cond ((not range-exp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7513 "1")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7514 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7515 (if (string-match "^\\[\\(.*\\)\\]$" range-exp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7516 (setq range-exp (match-string 1 range-exp)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7517 (cond ((not range-exp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7518 "1")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7519 ((string-match "^\\s *\\([0-9]+\\)\\s *:\\s *\\([0-9]+\\)\\s *$"
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7520 range-exp)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7521 (int-to-string
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7522 (1+ (abs (- (string-to-number (match-string 1 range-exp))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7523 (string-to-number (match-string 2 range-exp)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7524 ((string-match "^\\(.*\\)\\s *:\\s *\\(.*\\)\\s *$" range-exp)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7525 (concat "(1+(" (match-string 1 range-exp) ")"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7526 (if (equal "0" (match-string 2 range-exp))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7527 "" ;; Don't bother with -(0)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7528 (concat "-(" (match-string 2 range-exp) ")"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7529 ")"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7530 (t nil)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7531 ;;(verilog-make-width-expression "`A:`B")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7532
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7533 (defun verilog-typedef-name-p (variable-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7534 "Return true if the VARIABLE-NAME is a type definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7535 (when verilog-typedef-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7536 (string-match verilog-typedef-regexp variable-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7537
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7538 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7539 ;; Auto deletion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7540 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7541
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7542 (defun verilog-delete-autos-lined ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7543 "Delete autos that occupy multiple lines, between begin and end comments."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7544 (let ((pt (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7545 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7546 (when (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7547 (looking-at "\\s-*// Beginning")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7548 (search-forward "// End of automatic" nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7549 ;; End exists
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7550 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7551 (delete-region pt (point))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7552 (forward-line 1))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7553
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7554 (defun verilog-forward-close-paren ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7555 "Find the close parenthesis that match the current point.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7556 Ignore other close parenthesis with matching open parens."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7557 (let ((parens 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7558 (while (> parens 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7559 (unless (verilog-re-search-forward-quick "[()]" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7560 (error "%s: Mismatching ()" (verilog-point-text)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7561 (cond ((= (preceding-char) ?\( )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7562 (setq parens (1+ parens)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7563 ((= (preceding-char) ?\) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7564 (setq parens (1- parens)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7565
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7566 (defun verilog-backward-open-paren ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7567 "Find the open parenthesis that match the current point.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7568 Ignore other open parenthesis with matching close parens."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7569 (let ((parens 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7570 (while (> parens 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7571 (unless (verilog-re-search-backward-quick "[()]" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7572 (error "%s: Mismatching ()" (verilog-point-text)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7573 (cond ((= (following-char) ?\) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7574 (setq parens (1+ parens)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7575 ((= (following-char) ?\( )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7576 (setq parens (1- parens)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7577
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7578 (defun verilog-backward-open-bracket ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7579 "Find the open bracket that match the current point.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7580 Ignore other open bracket with matching close bracket."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7581 (let ((parens 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7582 (while (> parens 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7583 (unless (verilog-re-search-backward-quick "[][]" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7584 (error "%s: Mismatching []" (verilog-point-text)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7585 (cond ((= (following-char) ?\] )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7586 (setq parens (1+ parens)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7587 ((= (following-char) ?\[ )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7588 (setq parens (1- parens)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7589
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7590 (defun verilog-delete-to-paren ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7591 "Delete the automatic inst/sense/arg created by autos.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7592 Deletion stops at the matching end parenthesis."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7593 (delete-region (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7594 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7595 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7596 (forward-sexp 1) ;; Moves to paren that closes argdecl's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7597 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7598 (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7599
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7600 (defun verilog-auto-star-safe ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7601 "Return if a .* AUTOINST is safe to delete or expand.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7602 It was created by the AUTOS themselves, or by the user."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7603 (and verilog-auto-star-expand
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7604 (looking-at "[ \t\n\f,]*\\([)]\\|// \\(Outputs\\|Inouts\\|Inputs\\)\\)")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7605
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7606 (defun verilog-delete-auto-star-all ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7607 "Delete a .* AUTOINST, if it is safe."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7608 (when (verilog-auto-star-safe)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7609 (verilog-delete-to-paren)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7610
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7611 (defun verilog-delete-auto-star-implicit ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7612 "Delete all .* implicit connections created by `verilog-auto-star'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7613 This function will be called automatically at save unless
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7614 `verilog-auto-star-save' is set, any non-templated expanded pins will be
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7615 removed."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7616 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7617 (let (paren-pt indent have-close-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7618 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7619 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7620 ;; We need to match these even outside of comments.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7621 ;; For reasonable performance, we don't check if inside comments, sorry.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7622 (while (re-search-forward "// Implicit \\.\\*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7623 (setq paren-pt (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7624 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7625 (setq have-close-paren
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7626 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7627 (when (search-forward ");" paren-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7628 (setq indent (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7629 t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7630 (delete-region (point) (+ 1 paren-pt)) ; Nuke line incl CR
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7631 (when have-close-paren
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7632 ;; Delete extra commentary
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7633 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7634 (while (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7635 (forward-line -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7636 (looking-at "\\s *//\\s *\\(Outputs\\|Inouts\\|Inputs\\)\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7637 (delete-region (match-beginning 0) (match-end 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7638 ;; If it is simple, we can put the ); on the same line as the last text
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7639 (let ((rtn-pt (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7640 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7641 (while (progn (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7642 (looking-at "[ \t\n\f]")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7643 (when (looking-at ",")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7644 (delete-region (+ 1 (point)) rtn-pt))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7645 (when (bolp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7646 (indent-to indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7647 (insert ");\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7648 ;; Still need to kill final comma - always is one as we put one after the .*
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7649 (re-search-backward ",")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7650 (delete-char 1))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7651
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7652 (defun verilog-delete-auto ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7653 "Delete the automatic outputs, regs, and wires created by \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7654 Use \\[verilog-auto] to re-insert the updated AUTOs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7655
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7656 The hooks `verilog-before-delete-auto-hook' and `verilog-delete-auto-hook' are
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7657 called before and after this function, respectively."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7658 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7659 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7660 (if (buffer-file-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7661 (find-file-noselect (buffer-file-name))) ;; To check we have latest version
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7662 ;; Allow user to customize
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7663 (run-hooks 'verilog-before-delete-auto-hook)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7664
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7665 ;; Remove those that have multi-line insertions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7666 (verilog-auto-re-search-do "/\\*AUTO\\(OUTPUTEVERY\\|CONCATCOMMENT\\|WIRE\\|REG\\|DEFINEVALUE\\|REGINPUT\\|INPUT\\|OUTPUT\\|INOUT\\|RESET\\|TIEOFF\\|UNUSED\\)\\*/"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7667 'verilog-delete-autos-lined)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7668 ;; Remove those that have multi-line insertions with parameters
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7669 (verilog-auto-re-search-do "/\\*AUTO\\(INOUTMODULE\\|ASCIIENUM\\)([^)]*)\\*/"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7670 'verilog-delete-autos-lined)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7671 ;; Remove those that are in parenthesis
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7672 (verilog-auto-re-search-do "/\\*\\(AS\\|AUTO\\(ARG\\|CONCATWIDTH\\|INST\\|INSTPARAM\\|SENSE\\)\\)\\*/"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7673 'verilog-delete-to-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7674 ;; Do .* instantiations, but avoid removing any user pins by looking for our magic comments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7675 (verilog-auto-re-search-do "\\.\\*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7676 'verilog-delete-auto-star-all)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7677 ;; Remove template comments ... anywhere in case was pasted after AUTOINST removed
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7678 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7679 (while (re-search-forward "\\s-*// \\(Templated\\|Implicit \\.\\*\\)[ \tLT0-9]*$" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7680 (replace-match ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7681
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7682 ;; Final customize
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7683 (run-hooks 'verilog-delete-auto-hook)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7684
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7685 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7686 ;; Auto inject
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7687 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7688
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7689 (defun verilog-inject-auto ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7690 "Examine legacy non-AUTO code and insert AUTOs in appropriate places.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7691
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7692 Any always @ blocks with sensitivity lists that match computed lists will
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7693 be replaced with /*AS*/ comments.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7694
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7695 Any cells will get /*AUTOINST*/ added to the end of the pin list.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7696 Pins with have identical names will be deleted.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7697
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7698 Argument lists will not be deleted, /*AUTOARG*/ will only be inserted to
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7699 support adding new ports. You may wish to delete older ports yourself.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7700
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7701 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7702
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7703 module ex_inject (i, o);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7704 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7705 input j;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7706 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7707 always @ (i or j)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7708 o = i | j;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7709 cell cell (.foobar(baz),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7710 .j(j));
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7711 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7712
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7713 Typing \\[verilog-inject-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7714
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7715 module ex_inject (i, o/*AUTOARG*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7716 // Inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7717 j);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7718 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7719 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7720 always @ (/*AS*/i or j)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7721 o = i | j;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7722 cell cell (.foobar(baz),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7723 /*AUTOINST*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7724 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7725 .j(j));
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7726 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7727 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7728 (verilog-auto t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7729
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7730 (defun verilog-inject-arg ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7731 "Inject AUTOARG into new code. See `verilog-inject-auto'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7732 ;; Presume one module per file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7733 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7734 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7735 (while (verilog-re-search-forward-quick "\\<module\\>" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7736 (let ((endmodp (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7737 (verilog-re-search-forward-quick "\\<endmodule\\>" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7738 (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7739 ;; See if there's already a comment .. inside a comment so not verilog-re-search
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7740 (when (not (re-search-forward "/\\*AUTOARG\\*/" endmodp t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7741 (verilog-re-search-forward-quick ";" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7742 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7743 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7744 (backward-char 1) ; Moves to paren that closes argdecl's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7745 (when (looking-at ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7746 (insert "/*AUTOARG*/")))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7747
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7748 (defun verilog-inject-sense ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7749 "Inject AUTOSENSE into new code. See `verilog-inject-auto'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7750 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7751 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7752 (while (verilog-re-search-forward-quick "\\<always\\s *@\\s *(" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7753 (let ((start-pt (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7754 (modi (verilog-modi-current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7755 pre-sigs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7756 got-sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7757 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7758 (forward-sexp 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7759 (backward-char 1) ;; End )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7760 (when (not (verilog-re-search-backward "/\\*\\(AUTOSENSE\\|AS\\)\\*/" start-pt t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7761 (setq pre-sigs (verilog-signals-from-signame
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7762 (verilog-read-signals start-pt (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7763 got-sigs (verilog-auto-sense-sigs modi nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7764 (when (not (or (verilog-signals-not-in pre-sigs got-sigs) ; Both are equal?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7765 (verilog-signals-not-in got-sigs pre-sigs)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7766 (delete-region start-pt (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7767 (insert "/*AS*/")))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7768
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7769 (defun verilog-inject-inst ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7770 "Inject AUTOINST into new code. See `verilog-inject-auto'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7771 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7772 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7773 ;; It's hard to distinguish modules; we'll instead search for pins.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7774 (while (verilog-re-search-forward-quick "\\.\\s *[a-zA-Z0-9`_\$]+\\s *(\\s *[a-zA-Z0-9`_\$]+\\s *)" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7775 (verilog-backward-open-paren) ;; Inst start
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7776 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7777 ((= (preceding-char) ?\#) ;; #(...) parameter section, not pin. Skip.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7778 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7779 (verilog-forward-close-paren)) ;; Parameters done
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7780 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7781 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7782 (let ((indent-pt (+ (current-column)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7783 (end-pt (save-excursion (verilog-forward-close-paren) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7784 (cond ((verilog-re-search-forward "\\(/\\*AUTOINST\\*/\\|\\.\\*\\)" end-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7785 (goto-char end-pt)) ;; Already there, continue search with next instance
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7786 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7787 ;; Delete identical interconnect
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7788 (let ((case-fold-search nil)) ;; So we don't convert upper-to-lower, etc
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7789 (while (verilog-re-search-forward "\\.\\s *\\([a-zA-Z0-9`_\$]+\\)*\\s *(\\s *\\1\\s *)\\s *" end-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7790 (delete-region (match-beginning 0) (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7791 (setq end-pt (- end-pt (- (match-end 0) (match-beginning 0)))) ;; Keep it correct
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7792 (while (or (looking-at "[ \t\n\f,]+")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7793 (looking-at "//[^\n]*"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7794 (delete-region (match-beginning 0) (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7795 (setq end-pt (- end-pt (- (match-end 0) (match-beginning 0)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7796 (verilog-forward-close-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7797 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7798 ;; Not verilog-re-search, as we don't want to strip comments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7799 (while (re-search-backward "[ \t\n\f]+" (- (point) 1) t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7800 (delete-region (match-beginning 0) (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7801 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7802 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7803 (insert "/*AUTOINST*/")))))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7804
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7805 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7806 ;; Auto save
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7807 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7808
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7809 (defun verilog-auto-save-check ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7810 "On saving see if we need auto update."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7811 (cond ((not verilog-auto-save-policy)) ; disabled
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7812 ((not (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7813 (save-match-data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7814 (let ((case-fold-search nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7815 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7816 (re-search-forward "AUTO" nil t))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7817 ((eq verilog-auto-save-policy 'force)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7818 (verilog-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7819 ((not (buffer-modified-p)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7820 ((eq verilog-auto-update-tick (buffer-modified-tick))) ; up-to-date
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7821 ((eq verilog-auto-save-policy 'detect)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7822 (verilog-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7823 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7824 (when (yes-or-no-p "AUTO statements not recomputed, do it now? ")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7825 (verilog-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7826 ;; Don't ask again if didn't update
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7827 (set (make-local-variable 'verilog-auto-update-tick) (buffer-modified-tick))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7828 (when (not verilog-auto-star-save)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7829 (verilog-delete-auto-star-implicit))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7830 nil) ;; Always return nil -- we don't write the file ourselves
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7831
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7832 (defun verilog-auto-read-locals ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7833 "Return file local variable segment at bottom of file."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7834 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7835 (goto-char (point-max))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7836 (if (re-search-backward "Local Variables:" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7837 (buffer-substring-no-properties (point) (point-max))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7838 "")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7839
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7840 (defun verilog-auto-reeval-locals (&optional force)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7841 "Read file local variable segment at bottom of file if it has changed.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7842 If FORCE, always reread it."
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7843 (make-local-variable 'verilog-auto-last-file-locals)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7844 (let ((curlocal (verilog-auto-read-locals)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7845 (when (or force (not (equal verilog-auto-last-file-locals curlocal)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7846 (setq verilog-auto-last-file-locals curlocal)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7847 ;; Note this may cause this function to be recursively invoked.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7848 ;; The above when statement will prevent it from recursing forever.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7849 (hack-local-variables)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7850 t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7851
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7852 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7853 ;; Auto creation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7854 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7855
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7856 (defun verilog-auto-arg-ports (sigs message indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7857 "Print a list of ports for a AUTOINST.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7858 Takes SIGS list, adds MESSAGE to front and inserts each at INDENT-PT."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7859 (when sigs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7860 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7861 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7862 (insert message)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7863 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7864 (let ((space ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7865 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7866 (while sigs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7867 (cond ((> (+ 2 (current-column) (length (verilog-sig-name (car sigs)))) fill-column)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7868 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7869 (indent-to indent-pt))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7870 (t (insert space)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7871 (insert (verilog-sig-name (car sigs)) ",")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7872 (setq sigs (cdr sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7873 space " ")))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7874
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7875 (defun verilog-auto-arg ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7876 "Expand AUTOARG statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7877 Replace the argument declarations at the beginning of the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7878 module with ones automatically derived from input and output
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7879 statements. This can be dangerous if the module is instantiated
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7880 using position-based connections, so use only name-based when
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7881 instantiating the resulting module. Long lines are split based
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7882 on the `fill-column', see \\[set-fill-column].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7883
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7884 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7885 Concatenation and outputting partial busses is not supported.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7886
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7887 Typedefs must match `verilog-typedef-regexp', which is disabled by default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7888
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7889 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7890
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7891 module ex_arg (/*AUTOARG*/);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7892 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7893 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7894 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7895
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7896 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7897
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7898 module ex_arg (/*AUTOARG*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7899 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7900 o,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7901 // Inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7902 i
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7903 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7904 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7905 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7906 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7907
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7908 Any ports declared between the ( and /*AUTOARG*/ are presumed to be
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7909 predeclared and are not redeclared by AUTOARG. AUTOARG will make a
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7910 conservative guess on adding a comma for the first signal, if you have
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7911 any ifdefs or complicated expressions before the AUTOARG you will need
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7912 to choose the comma yourself.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7913
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7914 Avoid declaring ports manually, as it makes code harder to maintain."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7915 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7916 (let ((modi (verilog-modi-current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7917 (skip-pins (aref (verilog-read-arg-pins) 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7918 (verilog-repair-open-comma)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7919 (verilog-auto-arg-ports (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7920 (verilog-modi-get-outputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7921 skip-pins)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7922 "// Outputs"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7923 verilog-indent-level-declaration)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7924 (verilog-auto-arg-ports (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7925 (verilog-modi-get-inouts modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7926 skip-pins)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7927 "// Inouts"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7928 verilog-indent-level-declaration)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7929 (verilog-auto-arg-ports (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7930 (verilog-modi-get-inputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7931 skip-pins)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7932 "// Inputs"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7933 verilog-indent-level-declaration)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7934 (verilog-repair-close-comma)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7935 (unless (eq (char-before) ?/ )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7936 (insert "\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7937 (indent-to verilog-indent-level-declaration))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7938
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7939 (defun verilog-auto-inst-port-map (port-st)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7940 nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7941
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7942 (defvar vl-cell-type nil "See `verilog-auto-inst'.") ; Prevent compile warning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7943 (defvar vl-cell-name nil "See `verilog-auto-inst'.") ; Prevent compile warning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7944 (defvar vl-name nil "See `verilog-auto-inst'.") ; Prevent compile warning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7945 (defvar vl-width nil "See `verilog-auto-inst'.") ; Prevent compile warning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7946 (defvar vl-dir nil "See `verilog-auto-inst'.") ; Prevent compile warning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7947
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7948 (defun verilog-auto-inst-port (port-st indent-pt tpl-list tpl-num for-star)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7949 "Print out a instantiation connection for this PORT-ST.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7950 Insert to INDENT-PT, use template TPL-LIST.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7951 @ are instantiation numbers, replaced with TPL-NUM.
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7952 @\"(expression @)\" are evaluated, with @ as a variable.
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7953 If FOR-STAR add comment it is a .* expansion."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7954 (let* ((port (verilog-sig-name port-st))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7955 (tpl-ass (or (assoc port (car tpl-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7956 (verilog-auto-inst-port-map port-st)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7957 ;; vl-* are documented for user use
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7958 (vl-name (verilog-sig-name port-st))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7959 (vl-width (verilog-sig-width port-st))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7960 (vl-bits (if (or verilog-auto-inst-vector
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7961 (not (assoc port vector-skip-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7962 (not (equal (verilog-sig-bits port-st)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7963 (verilog-sig-bits (assoc port vector-skip-list)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7964 (or (verilog-sig-bits port-st) "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7965 ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7966 ;; Default if not found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7967 (tpl-net (if (verilog-sig-multidim port-st)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7968 (concat port "/*" (verilog-sig-multidim-string port-st)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7969 vl-bits "*/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7970 (concat port vl-bits)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7971 (case-fold-search nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7972 ;; Find template
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7973 (cond (tpl-ass ; Template of exact port name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7974 (setq tpl-net (nth 1 tpl-ass)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7975 ((nth 1 tpl-list) ; Wildcards in template, search them
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7976 (let ((wildcards (nth 1 tpl-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7977 (while wildcards
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7978 (when (string-match (nth 0 (car wildcards)) port)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7979 (setq tpl-ass (car wildcards) ; so allow @ parsing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7980 tpl-net (replace-match (nth 1 (car wildcards))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7981 t nil port)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7982 (setq wildcards (cdr wildcards))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7983 ;; Parse Templated variable
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7984 (when tpl-ass
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7985 ;; Evaluate @"(lispcode)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7986 (when (string-match "@\".*[^\\]\"" tpl-net)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7987 (while (string-match "@\"\\(\\([^\\\"]*\\(\\\\.\\)*\\)*\\)\"" tpl-net)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7988 (setq tpl-net
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7989 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7990 (substring tpl-net 0 (match-beginning 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7991 (save-match-data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7992 (let* ((expr (match-string 1 tpl-net))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7993 (value
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7994 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7995 (setq expr (verilog-string-replace-matches "\\\\\"" "\"" nil nil expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7996 (setq expr (verilog-string-replace-matches "@" tpl-num nil nil expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7997 (prin1 (eval (car (read-from-string expr)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7998 (lambda (ch) ())))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7999 (if (numberp value) (setq value (number-to-string value)))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8000 value))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8001 (substring tpl-net (match-end 0))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8002 ;; Replace @ and [] magic variables in final output
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8003 (setq tpl-net (verilog-string-replace-matches "@" tpl-num nil nil tpl-net))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8004 (setq tpl-net (verilog-string-replace-matches "\\[\\]" vl-bits nil nil tpl-net)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8005 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8006 (insert "." port)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8007 (indent-to verilog-auto-inst-column)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8008 (insert "(" tpl-net "),")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8009 (cond (tpl-ass
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8010 (indent-to (+ (if (< verilog-auto-inst-column 48) 24 16)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8011 verilog-auto-inst-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8012 (insert " // Templated")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8013 (when verilog-auto-inst-template-numbers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8014 (insert " T" (int-to-string (nth 2 tpl-ass))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8015 " L" (int-to-string (nth 3 tpl-ass)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8016 (for-star
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8017 (indent-to (+ (if (< verilog-auto-inst-column 48) 24 16)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8018 verilog-auto-inst-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8019 (insert " // Implicit .\*"))) ;For some reason the . or * must be escaped...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8020 (insert "\n")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8021 ;;(verilog-auto-inst-port (list "foo" "[5:0]") 10 (list (list "foo" "a@\"(% (+ @ 1) 4)\"a")) "3")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8022 ;;(x "incom[@\"(+ (* 8 @) 7)\":@\"(* 8 @)\"]")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8023 ;;(x ".out (outgo[@\"(concat (+ (* 8 @) 7) \\\":\\\" ( * 8 @))\"]));")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8024
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8025 (defun verilog-auto-inst-first ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8026 "Insert , etc before first ever port in this instant, as part of \\[verilog-auto-inst]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8027 ;; Do we need a trailing comma?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8028 ;; There maybe a ifdef or something similar before us. What a mess. Thus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8029 ;; to avoid trouble we only insert on preceeding ) or *.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8030 ;; Insert first port on new line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8031 (insert "\n") ;; Must insert before search, so point will move forward if insert comma
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8032 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8033 (verilog-re-search-backward "[^ \t\n\f]" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8034 (when (looking-at ")\\|\\*") ;; Generally don't insert, unless we are fairly sure
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8035 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8036 (insert ","))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8037
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8038 (defun verilog-auto-star ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8039 "Expand SystemVerilog .* pins, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8040
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8041 If `verilog-auto-star-expand' is set, .* pins are treated if they were
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8042 AUTOINST statements, otherwise they are ignored. For safety, Verilog mode
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8043 will also ignore any .* that are not last in your pin list (this prevents
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8044 it from deleting pins following the .* when it expands the AUTOINST.)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8045
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8046 On writing your file, unless `verilog-auto-star-save' is set, any
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8047 non-templated expanded pins will be removed. You may do this at any time
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8048 with \\[verilog-delete-auto-star-implicit].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8049
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8050 If you are converting a module to use .* for the first time, you may wish
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8051 to use \\[verilog-inject-auto] and then replace the created AUTOINST with .*.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8052
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8053 See `verilog-auto-inst' for examples, templates, and more information."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8054 (when (verilog-auto-star-safe)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8055 (verilog-auto-inst)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8056
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8057 (defun verilog-auto-inst ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8058 "Expand AUTOINST statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8059 Replace the pin connections to an instantiation with ones
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8060 automatically derived from the module header of the instantiated netlist.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8061
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8062 If `verilog-auto-star-expand' is set, also expand SystemVerilog .* ports,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8063 and delete them before saving unless `verilog-auto-star-save' is set.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8064 See `verilog-auto-star' for more information.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8065
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8066 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8067 Module names must be resolvable to filenames by adding a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8068 `verilog-library-extensions', and being found in the same directory, or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8069 by changing the variable `verilog-library-flags' or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8070 `verilog-library-directories'. Macros `modname are translated through the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8071 vh-{name} Emacs variable, if that is not found, it just ignores the `.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8072
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8073 In templates you must have one signal per line, ending in a ), or ));,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8074 and have proper () nesting, including a final ); to end the template.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8075
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8076 Typedefs must match `verilog-typedef-regexp', which is disabled by default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8077
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8078 SystemVerilog multidimensional input/output has only experimental support.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8079
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8080 For example, first take the submodule inst.v:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8081
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8082 module inst (o,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8083 output [31:0] o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8084 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8085 wire [31:0] o = {32{i}};
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8086 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8087
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8088 This is then used in a upper level module:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8089
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8090 module ex_inst (o,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8091 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8092 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8093 inst inst (/*AUTOINST*/);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8094 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8095
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8096 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8097
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8098 module ex_inst (o,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8099 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8100 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8101 inst inst (/*AUTOINST*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8102 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8103 .ov (ov[31:0]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8104 // Inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8105 .i (i));
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8106 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8107
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8108 Where the list of inputs and outputs came from the inst module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8109
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8110 Exceptions:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8111
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8112 Unless you are instantiating a module multiple times, or the module is
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8113 something trivial like an adder, DO NOT CHANGE SIGNAL NAMES ACROSS HIERARCHY.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8114 It just makes for unmaintainable code. To sanitize signal names, try
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8115 vrename from http://www.veripool.com.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8116
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8117 When you need to violate this suggestion there are two ways to list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8118 exceptions, placing them before the AUTOINST, or using templates.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8119
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8120 Any ports defined before the /*AUTOINST*/ are not included in the list of
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8121 automatics. This is similar to making a template as described below, but
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8122 is restricted to simple connections just like you normally make. Also note
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8123 that any signals before the AUTOINST will only be picked up by AUTOWIRE if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8124 you have the appropriate // Input or // Output comment, and exactly the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8125 same line formatting as AUTOINST itself uses.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8126
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8127 inst inst (// Inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8128 .i (my_i_dont_mess_with_it),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8129 /*AUTOINST*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8130 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8131 .ov (ov[31:0]));
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8132
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8133
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8134 Templates:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8135
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8136 For multiple instantiations based upon a single template, create a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8137 commented out template:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8138
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8139 /* instantiating_module_name AUTO_TEMPLATE (
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8140 .sig3 (sigz[]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8141 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8142 */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8143
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8144 Templates go ABOVE the instantiation(s). When an instantiation is
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8145 expanded `verilog-mode' simply searches up for the closest template.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8146 Thus you can have multiple templates for the same module, just alternate
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8147 between the template for an instantiation and the instantiation itself.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8148
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8149 The module name must be the same as the name of the module in the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8150 instantiation name, and the code \"AUTO_TEMPLATE\" must be in these exact
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8151 words and capitalized. Only signals that must be different for each
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8152 instantiation need to be listed.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8153
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8154 Inside a template, a [] in a connection name (with nothing else inside
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8155 the brackets) will be replaced by the same bus subscript as it is being
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8156 connected to, or the [] will be removed if it is a single bit signal.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8157 Generally it is a good idea to do this for all connections in a template,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8158 as then they will work for any width signal, and with AUTOWIRE. See
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8159 PTL_BUS becoming PTL_BUSNEW below.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8160
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8161 If you have a complicated template, set `verilog-auto-inst-template-numbers'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8162 to see which regexps are matching. Don't leave that mode set after
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8163 debugging is completed though, it will result in lots of extra differences
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8164 and merge conflicts.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8165
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8166 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8167
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8168 /* psm_mas AUTO_TEMPLATE (
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8169 .ptl_bus (ptl_busnew[]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8170 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8171 */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8172 psm_mas ms2m (/*AUTOINST*/);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8173
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8174 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8175
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8176 psm_mas ms2m (/*AUTOINST*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8177 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8178 .NotInTemplate (NotInTemplate),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8179 .ptl_bus (ptl_busnew[3:0]), // Templated
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8180 ....
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8181
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8182 @ Templates:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8183
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8184 It is common to instantiate a cell multiple times, so templates make it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8185 trivial to substitute part of the cell name into the connection name.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8186
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8187 /* cell_type AUTO_TEMPLATE <optional \"REGEXP\"> (
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8188 .sig1 (sigx[@]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8189 .sig2 (sigy[@\"(% (+ 1 @) 4)\"]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8190 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8191 */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8192
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8193 If no regular expression is provided immediately after the AUTO_TEMPLATE
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8194 keyword, then the @ character in any connection names will be replaced
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8195 with the instantiation number; the first digits found in the cell's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8196 instantiation name.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8197
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8198 If a regular expression is provided, the @ character will be replaced
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8199 with the first \(\) grouping that matches against the cell name. Using a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8200 regexp of \"\\([0-9]+\\)\" provides identical values for @ as when no
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8201 regexp is provided. If you use multiple layers of parenthesis,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8202 \"test\\([^0-9]+\\)_\\([0-9]+\\)\" would replace @ with non-number
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8203 characters after test and before _, whereas
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8204 \"\\(test\\([a-z]+\\)_\\([0-9]+\\)\\)\" would replace @ with the entire
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8205 match.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8206
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8207 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8208
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8209 /* psm_mas AUTO_TEMPLATE (
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8210 .ptl_mapvalidx (ptl_mapvalid[@]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8211 .ptl_mapvalidp1x (ptl_mapvalid[@\"(% (+ 1 @) 4)\"]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8212 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8213 */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8214 psm_mas ms2m (/*AUTOINST*/);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8215
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8216 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8217
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8218 psm_mas ms2m (/*AUTOINST*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8219 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8220 .ptl_mapvalidx (ptl_mapvalid[2]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8221 .ptl_mapvalidp1x (ptl_mapvalid[3]));
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8222
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8223 Note the @ character was replaced with the 2 from \"ms2m\".
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8224
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8225 Alternatively, using a regular expression for @:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8226
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8227 /* psm_mas AUTO_TEMPLATE \"_\\([a-z]+\\)\" (
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8228 .ptl_mapvalidx (@_ptl_mapvalid),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8229 .ptl_mapvalidp1x (ptl_mapvalid_@),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8230 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8231 */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8232 psm_mas ms2_FOO (/*AUTOINST*/);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8233 psm_mas ms2_BAR (/*AUTOINST*/);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8234
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8235 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8236
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8237 psm_mas ms2_FOO (/*AUTOINST*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8238 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8239 .ptl_mapvalidx (FOO_ptl_mapvalid),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8240 .ptl_mapvalidp1x (ptl_mapvalid_FOO));
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8241 psm_mas ms2_BAR (/*AUTOINST*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8242 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8243 .ptl_mapvalidx (BAR_ptl_mapvalid),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8244 .ptl_mapvalidp1x (ptl_mapvalid_BAR));
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8245
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8246
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8247 Regexp Templates:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8248
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8249 A template entry of the form
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8250
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8251 .pci_req\\([0-9]+\\)_l (pci_req_jtag_[\\1]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8252
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8253 will apply an Emacs style regular expression search for any port beginning
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8254 in pci_req followed by numbers and ending in _l and connecting that to
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8255 the pci_req_jtag_[] net, with the bus subscript coming from what matches
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8256 inside the first set of \\( \\). Thus pci_req2_l becomes pci_req_jtag_[2].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8257
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8258 Since \\([0-9]+\\) is so common and ugly to read, a @ in the port name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8259 does the same thing. (Note a @ in the connection/replacement text is
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8260 completely different -- still use \\1 there!) Thus this is the same as
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8261 the above template:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8262
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8263 .pci_req@_l (pci_req_jtag_[\\1]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8264
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8265 Here's another example to remove the _l, useful when naming conventions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8266 specify _ alone to mean active low. Note the use of [] to keep the bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8267 subscript:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8268
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8269 .\\(.*\\)_l (\\1_[]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8270
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8271 Lisp Templates:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8272
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8273 First any regular expression template is expanded.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8274
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8275 If the syntax @\"( ... )\" is found in a connection, the expression in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8276 quotes will be evaluated as a Lisp expression, with @ replaced by the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8277 instantiation number. The MAPVALIDP1X example above would put @+1 modulo
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8278 4 into the brackets. Quote all double-quotes inside the expression with
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8279 a leading backslash (\\\"). There are special variables defined that are
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8280 useful in these Lisp functions:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8281
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8282 vl-name Name portion of the input/output port.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8283 vl-bits Bus bits portion of the input/output port ('[2:0]').
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8284 vl-width Width of the input/output port ('3' for [2:0]).
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8285 May be a (...) expression if bits isn't a constant.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8286 vl-dir Direction of the pin input/output/inout.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8287 vl-cell-type Module name/type of the cell ('psm_mas').
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8288 vl-cell-name Instance name of the cell ('ms2m').
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8289
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8290 Normal Lisp variables may be used in expressions. See
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8291 `verilog-read-defines' which can set vh-{definename} variables for use
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8292 here. Also, any comments of the form:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8293
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8294 /*AUTO_LISP(setq foo 1)*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8295
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8296 will evaluate any Lisp expression inside the parenthesis between the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8297 beginning of the buffer and the point of the AUTOINST. This allows
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8298 functions to be defined or variables to be changed between instantiations.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8299
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8300 Note that when using lisp expressions errors may occur when @ is not a
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8301 number; you may need to use the standard Emacs Lisp functions
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8302 `number-to-string' and `string-to-number'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8303
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8304 After the evaluation is completed, @ substitution and [] substitution
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8305 occur."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8306 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8307 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8308 (let* ((pt (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8309 (for-star (save-excursion (backward-char 2) (looking-at "\\.\\*")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8310 (indent-pt (save-excursion (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8311 (1+ (current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8312 (verilog-auto-inst-column (max verilog-auto-inst-column
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8313 (+ 16 (* 8 (/ (+ indent-pt 7) 8)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8314 (modi (verilog-modi-current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8315 (vector-skip-list (unless verilog-auto-inst-vector
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8316 (verilog-modi-get-signals modi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8317 submod submodi inst skip-pins tpl-list tpl-num did-first)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8318 ;; Find module name that is instantiated
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8319 (setq submod (verilog-read-inst-module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8320 inst (verilog-read-inst-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8321 vl-cell-type submod
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8322 vl-cell-name inst
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8323 skip-pins (aref (verilog-read-inst-pins) 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8324
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8325 ;; Parse any AUTO_LISP() before here
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8326 (verilog-read-auto-lisp (point-min) pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8327
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8328 ;; Lookup position, etc of submodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8329 ;; Note this may raise an error
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8330 (when (setq submodi (verilog-modi-lookup submod t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8331 ;; If there's a number in the instantiation, it may be a argument to the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8332 ;; automatic variable instantiation program.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8333 (let* ((tpl-info (verilog-read-auto-template submod))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8334 (tpl-regexp (aref tpl-info 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8335 (setq tpl-num (if (string-match tpl-regexp inst)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8336 (match-string 1 inst)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8337 "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8338 tpl-list (aref tpl-info 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8339 ;; Find submodule's signals and dump
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8340 (let ((sig-list (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8341 (verilog-modi-get-outputs submodi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8342 skip-pins))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8343 (vl-dir "output"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8344 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8345 (when (not did-first) (verilog-auto-inst-first) (setq did-first t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8346 (indent-to indent-pt)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8347 ;; Note these are searched for in verilog-read-sub-decls.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8348 (insert "// Outputs\n")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8349 (mapc (lambda (port)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8350 (verilog-auto-inst-port port indent-pt
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8351 tpl-list tpl-num for-star))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8352 sig-list)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8353 (let ((sig-list (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8354 (verilog-modi-get-inouts submodi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8355 skip-pins))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8356 (vl-dir "inout"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8357 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8358 (when (not did-first) (verilog-auto-inst-first) (setq did-first t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8359 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8360 (insert "// Inouts\n")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8361 (mapc (lambda (port)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8362 (verilog-auto-inst-port port indent-pt
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8363 tpl-list tpl-num for-star))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8364 sig-list)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8365 (let ((sig-list (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8366 (verilog-modi-get-inputs submodi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8367 skip-pins))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8368 (vl-dir "input"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8369 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8370 (when (not did-first) (verilog-auto-inst-first) (setq did-first t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8371 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8372 (insert "// Inputs\n")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8373 (mapc (lambda (port)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8374 (verilog-auto-inst-port port indent-pt
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8375 tpl-list tpl-num for-star))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8376 sig-list)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8377 ;; Kill extra semi
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8378 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8379 (cond (did-first
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8380 (re-search-backward "," pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8381 (delete-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8382 (insert ");")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8383 (search-forward "\n") ;; Added by inst-port
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8384 (delete-backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8385 (if (search-forward ")" nil t) ;; From user, moved up a line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8386 (delete-backward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8387 (if (search-forward ";" nil t) ;; Don't error if user had syntax error and forgot it
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8388 (delete-backward-char 1)))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8389
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8390 (defun verilog-auto-inst-param ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8391 "Expand AUTOINSTPARAM statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8392 Replace the parameter connections to an instantiation with ones
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8393 automatically derived from the module header of the instantiated netlist.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8394
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8395 See \\[verilog-auto-inst] for limitations, and templates to customize the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8396 output.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8397
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8398 For example, first take the submodule inst.v:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8399
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8400 module inst (o,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8401 parameter PAR;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8402 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8403
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8404 This is then used in a upper level module:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8405
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8406 module ex_inst (o,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8407 parameter PAR;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8408 inst #(/*AUTOINSTPARAM*/)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8409 inst (/*AUTOINST*/);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8410 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8411
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8412 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8413
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8414 module ex_inst (o,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8415 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8416 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8417 inst (/*AUTOINSTPARAM*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8418 // Parameters
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8419 .PAR (PAR));
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8420 inst (/*AUTOINST*/);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8421 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8422
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8423 Where the list of parameter connections come from the inst module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8424
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8425 Templates:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8426
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8427 You can customize the parameter connections using AUTO_TEMPLATEs,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8428 just as you would with \\[verilog-auto-inst]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8429 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8430 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8431 (let* ((pt (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8432 (indent-pt (save-excursion (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8433 (1+ (current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8434 (verilog-auto-inst-column (max verilog-auto-inst-column
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8435 (+ 16 (* 8 (/ (+ indent-pt 7) 8)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8436 (modi (verilog-modi-current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8437 (vector-skip-list (unless verilog-auto-inst-vector
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8438 (verilog-modi-get-signals modi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8439 submod submodi inst skip-pins tpl-list tpl-num did-first)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8440 ;; Find module name that is instantiated
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8441 (setq submod (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8442 ;; Get to the point where AUTOINST normally is to read the module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8443 (verilog-re-search-forward-quick "[(;]" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8444 (verilog-read-inst-module))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8445 inst (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8446 ;; Get to the point where AUTOINST normally is to read the module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8447 (verilog-re-search-forward-quick "[(;]" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8448 (verilog-read-inst-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8449 vl-cell-type submod
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8450 vl-cell-name inst
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8451 skip-pins (aref (verilog-read-inst-pins) 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8452
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8453 ;; Parse any AUTO_LISP() before here
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8454 (verilog-read-auto-lisp (point-min) pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8455
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8456 ;; Lookup position, etc of submodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8457 ;; Note this may raise an error
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8458 (when (setq submodi (verilog-modi-lookup submod t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8459 ;; If there's a number in the instantiation, it may be a argument to the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8460 ;; automatic variable instantiation program.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8461 (let* ((tpl-info (verilog-read-auto-template submod))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8462 (tpl-regexp (aref tpl-info 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8463 (setq tpl-num (if (string-match tpl-regexp inst)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8464 (match-string 1 inst)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8465 "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8466 tpl-list (aref tpl-info 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8467 ;; Find submodule's signals and dump
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8468 (let ((sig-list (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8469 (verilog-modi-get-gparams submodi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8470 skip-pins))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8471 (vl-dir "parameter"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8472 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8473 (when (not did-first) (verilog-auto-inst-first) (setq did-first t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8474 (indent-to indent-pt)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8475 ;; Note these are searched for in verilog-read-sub-decls.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8476 (insert "// Parameters\n")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8477 (mapc (lambda (port)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8478 (verilog-auto-inst-port port indent-pt
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8479 tpl-list tpl-num nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8480 sig-list)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8481 ;; Kill extra semi
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8482 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8483 (cond (did-first
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8484 (re-search-backward "," pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8485 (delete-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8486 (insert ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8487 (search-forward "\n") ;; Added by inst-port
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8488 (delete-backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8489 (if (search-forward ")" nil t) ;; From user, moved up a line
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8490 (delete-backward-char 1)))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8491
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8492 (defun verilog-auto-reg ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8493 "Expand AUTOREG statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8494 Make reg statements for any output that isn't already declared,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8495 and isn't a wire output from a block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8496
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8497 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8498 This ONLY detects outputs of AUTOINSTants (see `verilog-read-sub-decls').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8499
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8500 This does NOT work on memories, declare those yourself.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8501
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8502 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8503
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8504 module ex_reg (o,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8505 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8506 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8507 /*AUTOREG*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8508 always o = i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8509 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8510
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8511 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8512
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8513 module ex_reg (o,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8514 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8515 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8516 /*AUTOREG*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8517 // Beginning of automatic regs (for this module's undeclared outputs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8518 reg o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8519 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8520 always o = i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8521 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8522 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8523 ;; Point must be at insertion point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8524 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8525 (modi (verilog-modi-current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8526 (sig-list (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8527 (verilog-modi-get-outputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8528 (append (verilog-modi-get-wires modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8529 (verilog-modi-get-regs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8530 (verilog-modi-get-assigns modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8531 (verilog-modi-get-consts modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8532 (verilog-modi-get-gparams modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8533 (verilog-modi-get-sub-outputs modi)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8534 (verilog-modi-get-sub-inouts modi)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8535 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8536 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8537 (verilog-insert-indent "// Beginning of automatic regs (for this module's undeclared outputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8538 (verilog-insert-definition sig-list "reg" indent-pt nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8539 (verilog-modi-cache-add-regs modi sig-list)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8540 (verilog-insert-indent "// End of automatics\n")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8541
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8542 (defun verilog-auto-reg-input ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8543 "Expand AUTOREGINPUT statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8544 Make reg statements instantiation inputs that aren't already declared.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8545 This is useful for making a top level shell for testing the module that is
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8546 to be instantiated.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8547
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8548 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8549 This ONLY detects inputs of AUTOINSTants (see `verilog-read-sub-decls').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8550
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8551 This does NOT work on memories, declare those yourself.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8552
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8553 An example (see `verilog-auto-inst' for what else is going on here):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8554
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8555 module ex_reg_input (o,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8556 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8557 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8558 /*AUTOREGINPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8559 inst inst (/*AUTOINST*/);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8560 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8561
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8562 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8563
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8564 module ex_reg_input (o,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8565 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8566 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8567 /*AUTOREGINPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8568 // Beginning of automatic reg inputs (for undeclared ...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8569 reg [31:0] iv; // From inst of inst.v
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8570 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8571 inst inst (/*AUTOINST*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8572 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8573 .o (o[31:0]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8574 // Inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8575 .iv (iv));
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8576 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8577 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8578 ;; Point must be at insertion point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8579 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8580 (modi (verilog-modi-current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8581 (sig-list (verilog-signals-combine-bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8582 (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8583 (append (verilog-modi-get-sub-inputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8584 (verilog-modi-get-sub-inouts modi))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8585 (verilog-modi-get-signals modi)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8586 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8587 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8588 (verilog-insert-indent "// Beginning of automatic reg inputs (for undeclared instantiated-module inputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8589 (verilog-insert-definition sig-list "reg" indent-pt nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8590 (verilog-modi-cache-add-regs modi sig-list)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8591 (verilog-insert-indent "// End of automatics\n")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8592
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8593 (defun verilog-auto-wire ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8594 "Expand AUTOWIRE statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8595 Make wire statements for instantiations outputs that aren't
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8596 already declared.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8597
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8598 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8599 This ONLY detects outputs of AUTOINSTants (see `verilog-read-sub-decls'),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8600 and all busses must have widths, such as those from AUTOINST, or using []
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8601 in AUTO_TEMPLATEs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8602
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8603 This does NOT work on memories or SystemVerilog .name connections,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8604 declare those yourself.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8605
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8606 Verilog mode will add \"Couldn't Merge\" comments to signals it cannot
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8607 determine how to bus together. This occurs when you have ports with
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8608 non-numeric or non-sequential bus subscripts. If Verilog mode
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8609 mis-guessed, you'll have to declare them yourself.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8610
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8611 An example (see `verilog-auto-inst' for what else is going on here):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8612
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8613 module ex_wire (o,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8614 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8615 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8616 /*AUTOWIRE*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8617 inst inst (/*AUTOINST*/);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8618 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8619
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8620 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8621
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8622 module ex_wire (o,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8623 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8624 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8625 /*AUTOWIRE*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8626 // Beginning of automatic wires
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8627 wire [31:0] ov; // From inst of inst.v
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8628 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8629 inst inst (/*AUTOINST*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8630 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8631 .ov (ov[31:0]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8632 // Inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8633 .i (i));
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8634 wire o = | ov;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8635 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8636 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8637 ;; Point must be at insertion point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8638 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8639 (modi (verilog-modi-current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8640 (sig-list (verilog-signals-combine-bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8641 (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8642 (append (verilog-modi-get-sub-outputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8643 (verilog-modi-get-sub-inouts modi))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8644 (verilog-modi-get-signals modi)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8645 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8646 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8647 (verilog-insert-indent "// Beginning of automatic wires (for undeclared instantiated-module outputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8648 (verilog-insert-definition sig-list "wire" indent-pt nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8649 (verilog-modi-cache-add-wires modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8650 (verilog-insert-indent "// End of automatics\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8651 (when nil ;; Too slow on huge modules, plus makes everyone's module change
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8652 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8653 (setq pnt (point))
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
8654 (verilog-pretty-declarations quiet)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8655 (goto-char pnt)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8656 (verilog-pretty-expr "//"))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8657
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8658 (defun verilog-auto-output ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8659 "Expand AUTOOUTPUT statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8660 Make output statements for any output signal from an /*AUTOINST*/ that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8661 isn't a input to another AUTOINST. This is useful for modules which
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8662 only instantiate other modules.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8663
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8664 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8665 This ONLY detects outputs of AUTOINSTants (see `verilog-read-sub-decls').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8666
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8667 If placed inside the parenthesis of a module declaration, it creates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8668 Verilog 2001 style, else uses Verilog 1995 style.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8669
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8670 If any concatenation, or bit-subscripts are missing in the AUTOINSTant's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8671 instantiation, all bets are off. (For example due to a AUTO_TEMPLATE).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8672
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8673 Typedefs must match `verilog-typedef-regexp', which is disabled by default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8674
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8675 Signals matching `verilog-auto-output-ignore-regexp' are not included.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8676
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8677 An example (see `verilog-auto-inst' for what else is going on here):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8678
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8679 module ex_output (ov,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8680 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8681 /*AUTOOUTPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8682 inst inst (/*AUTOINST*/);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8683 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8684
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8685 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8686
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8687 module ex_output (ov,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8688 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8689 /*AUTOOUTPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8690 // Beginning of automatic outputs (from unused autoinst outputs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8691 output [31:0] ov; // From inst of inst.v
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8692 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8693 inst inst (/*AUTOINST*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8694 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8695 .ov (ov[31:0]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8696 // Inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8697 .i (i));
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8698 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8699 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8700 ;; Point must be at insertion point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8701 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8702 (v2k (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8703 (modi (verilog-modi-current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8704 (sig-list (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8705 (verilog-modi-get-sub-outputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8706 (append (verilog-modi-get-outputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8707 (verilog-modi-get-inouts modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8708 (verilog-modi-get-sub-inputs modi)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8709 (verilog-modi-get-sub-inouts modi)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8710 (setq sig-list (verilog-signals-not-matching-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8711 sig-list verilog-auto-output-ignore-regexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8712 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8713 (when v2k (verilog-repair-open-comma))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8714 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8715 (verilog-insert-indent "// Beginning of automatic outputs (from unused autoinst outputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8716 (verilog-insert-definition sig-list "output" indent-pt v2k)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8717 (verilog-modi-cache-add-outputs modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8718 (verilog-insert-indent "// End of automatics\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8719 (when v2k (verilog-repair-close-comma)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8720
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8721 (defun verilog-auto-output-every ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8722 "Expand AUTOOUTPUTEVERY statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8723 Make output statements for any signals that aren't primary inputs or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8724 outputs already. This makes every signal in the design a output. This is
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8725 useful to get Synopsys to preserve every signal in the design, since it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8726 won't optimize away the outputs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8727
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8728 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8729
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8730 module ex_output_every (o,i,tempa,tempb)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8731 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8732 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8733 /*AUTOOUTPUTEVERY*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8734 wire tempa = i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8735 wire tempb = tempa;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8736 wire o = tempb;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8737 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8738
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8739 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8740
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8741 module ex_output_every (o,i,tempa,tempb)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8742 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8743 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8744 /*AUTOOUTPUTEVERY*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8745 // Beginning of automatic outputs (every signal)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8746 output tempb;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8747 output tempa;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8748 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8749 wire tempa = i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8750 wire tempb = tempa;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8751 wire o = tempb;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8752 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8753 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8754 ;;Point must be at insertion point
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8755 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8756 (v2k (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8757 (modi (verilog-modi-current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8758 (sig-list (verilog-signals-combine-bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8759 (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8760 (verilog-modi-get-signals modi)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8761 (verilog-modi-get-ports modi)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8762 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8763 (when v2k (verilog-repair-open-comma))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8764 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8765 (verilog-insert-indent "// Beginning of automatic outputs (every signal)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8766 (verilog-insert-definition sig-list "output" indent-pt v2k)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8767 (verilog-modi-cache-add-outputs modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8768 (verilog-insert-indent "// End of automatics\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8769 (when v2k (verilog-repair-close-comma)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8770
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8771 (defun verilog-auto-input ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8772 "Expand AUTOINPUT statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8773 Make input statements for any input signal into an /*AUTOINST*/ that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8774 isn't declared elsewhere inside the module. This is useful for modules which
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8775 only instantiate other modules.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8776
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8777 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8778 This ONLY detects outputs of AUTOINSTants (see `verilog-read-sub-decls').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8779
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8780 If placed inside the parenthesis of a module declaration, it creates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8781 Verilog 2001 style, else uses Verilog 1995 style.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8782
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8783 If any concatenation, or bit-subscripts are missing in the AUTOINSTant's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8784 instantiation, all bets are off. (For example due to a AUTO_TEMPLATE).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8785
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8786 Typedefs must match `verilog-typedef-regexp', which is disabled by default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8787
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8788 Signals matching `verilog-auto-input-ignore-regexp' are not included.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8789
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8790 An example (see `verilog-auto-inst' for what else is going on here):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8791
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8792 module ex_input (ov,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8793 output [31:0] ov;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8794 /*AUTOINPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8795 inst inst (/*AUTOINST*/);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8796 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8797
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8798 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8799
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8800 module ex_input (ov,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8801 output [31:0] ov;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8802 /*AUTOINPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8803 // Beginning of automatic inputs (from unused autoinst inputs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8804 input i; // From inst of inst.v
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8805 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8806 inst inst (/*AUTOINST*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8807 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8808 .ov (ov[31:0]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8809 // Inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8810 .i (i));
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8811 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8812 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8813 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8814 (v2k (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8815 (modi (verilog-modi-current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8816 (sig-list (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8817 (verilog-modi-get-sub-inputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8818 (append (verilog-modi-get-inputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8819 (verilog-modi-get-inouts modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8820 (verilog-modi-get-wires modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8821 (verilog-modi-get-regs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8822 (verilog-modi-get-consts modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8823 (verilog-modi-get-gparams modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8824 (verilog-modi-get-sub-outputs modi)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8825 (verilog-modi-get-sub-inouts modi)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8826 (setq sig-list (verilog-signals-not-matching-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8827 sig-list verilog-auto-input-ignore-regexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8828 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8829 (when v2k (verilog-repair-open-comma))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8830 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8831 (verilog-insert-indent "// Beginning of automatic inputs (from unused autoinst inputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8832 (verilog-insert-definition sig-list "input" indent-pt v2k)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8833 (verilog-modi-cache-add-inputs modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8834 (verilog-insert-indent "// End of automatics\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8835 (when v2k (verilog-repair-close-comma)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8836
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8837 (defun verilog-auto-inout ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8838 "Expand AUTOINOUT statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8839 Make inout statements for any inout signal in an /*AUTOINST*/ that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8840 isn't declared elsewhere inside the module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8841
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8842 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8843 This ONLY detects outputs of AUTOINSTants (see `verilog-read-sub-decls').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8844
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8845 If placed inside the parenthesis of a module declaration, it creates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8846 Verilog 2001 style, else uses Verilog 1995 style.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8847
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8848 If any concatenation, or bit-subscripts are missing in the AUTOINSTant's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8849 instantiation, all bets are off. (For example due to a AUTO_TEMPLATE).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8850
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8851 Typedefs must match `verilog-typedef-regexp', which is disabled by default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8852
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8853 Signals matching `verilog-auto-inout-ignore-regexp' are not included.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8854
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8855 An example (see `verilog-auto-inst' for what else is going on here):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8856
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8857 module ex_inout (ov,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8858 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8859 /*AUTOINOUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8860 inst inst (/*AUTOINST*/);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8861 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8862
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8863 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8864
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8865 module ex_inout (ov,i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8866 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8867 /*AUTOINOUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8868 // Beginning of automatic inouts (from unused autoinst inouts)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8869 inout [31:0] ov; // From inst of inst.v
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8870 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8871 inst inst (/*AUTOINST*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8872 // Inouts
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8873 .ov (ov[31:0]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8874 // Inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8875 .i (i));
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8876 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8877 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8878 ;; Point must be at insertion point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8879 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8880 (v2k (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8881 (modi (verilog-modi-current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8882 (sig-list (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8883 (verilog-modi-get-sub-inouts modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8884 (append (verilog-modi-get-outputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8885 (verilog-modi-get-inouts modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8886 (verilog-modi-get-inputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8887 (verilog-modi-get-sub-inputs modi)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8888 (verilog-modi-get-sub-outputs modi)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8889 (setq sig-list (verilog-signals-not-matching-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8890 sig-list verilog-auto-inout-ignore-regexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8891 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8892 (when v2k (verilog-repair-open-comma))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8893 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8894 (verilog-insert-indent "// Beginning of automatic inouts (from unused autoinst inouts)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8895 (verilog-insert-definition sig-list "inout" indent-pt v2k)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8896 (verilog-modi-cache-add-inouts modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8897 (verilog-insert-indent "// End of automatics\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8898 (when v2k (verilog-repair-close-comma)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8899
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8900 (defun verilog-auto-inout-module ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8901 "Expand AUTOINOUTMODULE statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8902 Take input/output/inout statements from the specified module and insert
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8903 into the current module. This is useful for making null templates and
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8904 shell modules which need to have identical I/O with another module.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8905 Any I/O which are already defined in this module will not be redefined.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8906
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8907 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8908 If placed inside the parenthesis of a module declaration, it creates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8909 Verilog 2001 style, else uses Verilog 1995 style.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8910
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8911 Concatenation and outputting partial busses is not supported.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8912
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8913 Module names must be resolvable to filenames. See `verilog-auto-inst'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8914
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8915 Signals are not inserted in the same order as in the original module,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8916 though they will appear to be in the same order to a AUTOINST
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8917 instantiating either module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8918
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8919 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8920
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8921 module ex_shell (/*AUTOARG*/)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8922 /*AUTOINOUTMODULE(\"ex_main\")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8923 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8924
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8925 module ex_main (i,o,io)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8926 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8927 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8928 inout io;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8929 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8930
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8931 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8932
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8933 module ex_shell (/*AUTOARG*/i,o,io)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8934 /*AUTOINOUTMODULE(\"ex_main\")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8935 // Beginning of automatic in/out/inouts (from specific module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8936 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8937 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8938 inout io;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8939 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8940 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8941 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8942 (let* ((submod (car (verilog-read-auto-params 1))) submodi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8943 ;; Lookup position, etc of co-module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8944 ;; Note this may raise an error
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8945 (when (setq submodi (verilog-modi-lookup submod t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8946 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8947 (v2k (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8948 (modi (verilog-modi-current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8949 (sig-list-i (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8950 (verilog-modi-get-inputs submodi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8951 (append (verilog-modi-get-inputs modi))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8952 (sig-list-o (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8953 (verilog-modi-get-outputs submodi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8954 (append (verilog-modi-get-outputs modi))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8955 (sig-list-io (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8956 (verilog-modi-get-inouts submodi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8957 (append (verilog-modi-get-inouts modi)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8958 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8959 (when v2k (verilog-repair-open-comma))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8960 (when (or sig-list-i sig-list-o sig-list-io)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8961 (verilog-insert-indent "// Beginning of automatic in/out/inouts (from specific module)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8962 ;; Don't sort them so a upper AUTOINST will match the main module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8963 (verilog-insert-definition sig-list-o "output" indent-pt v2k t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8964 (verilog-insert-definition sig-list-io "inout" indent-pt v2k t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8965 (verilog-insert-definition sig-list-i "input" indent-pt v2k t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8966 (verilog-modi-cache-add-inputs modi sig-list-i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8967 (verilog-modi-cache-add-outputs modi sig-list-o)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8968 (verilog-modi-cache-add-inouts modi sig-list-io)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8969 (verilog-insert-indent "// End of automatics\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8970 (when v2k (verilog-repair-close-comma)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8971
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8972 (defun verilog-auto-sense-sigs (modi presense-sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8973 "Return list of signals for current AUTOSENSE block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8974 (let* ((sigss (verilog-read-always-signals))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8975 (sig-list (verilog-signals-not-params
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8976 (verilog-signals-not-in (verilog-alw-get-inputs sigss)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8977 (append (and (not verilog-auto-sense-include-inputs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8978 (verilog-alw-get-outputs sigss))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8979 (verilog-modi-get-consts modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8980 (verilog-modi-get-gparams modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8981 presense-sigs)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8982 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8983
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8984 (defun verilog-auto-sense ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8985 "Expand AUTOSENSE statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8986 Replace the always (/*AUTOSENSE*/) sensitivity list (/*AS*/ for short)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8987 with one automatically derived from all inputs declared in the always
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8988 statement. Signals that are generated within the same always block are NOT
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8989 placed into the sensitivity list (see `verilog-auto-sense-include-inputs').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8990 Long lines are split based on the `fill-column', see \\[set-fill-column].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8991
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8992 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8993 Verilog does not allow memories (multidimensional arrays) in sensitivity
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8994 lists. AUTOSENSE will thus exclude them, and add a /*memory or*/ comment.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8995
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8996 Constant signals:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8997 AUTOSENSE cannot always determine if a `define is a constant or a signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8998 (it could be in a include file for example). If a `define or other signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8999 is put into the AUTOSENSE list and is not desired, use the AUTO_CONSTANT
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9000 declaration anywhere in the module (parenthesis are required):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9001
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9002 /* AUTO_CONSTANT ( `this_is_really_constant_dont_autosense_it ) */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9003
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9004 Better yet, use a parameter, which will be understood to be constant
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9005 automatically.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9006
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9007 OOps!
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9008 If AUTOSENSE makes a mistake, please report it. (First try putting
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9009 a begin/end after your always!) As a workaround, if a signal that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9010 shouldn't be in the sensitivity list was, use the AUTO_CONSTANT above.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9011 If a signal should be in the sensitivity list wasn't, placing it before
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9012 the /*AUTOSENSE*/ comment will prevent it from being deleted when the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9013 autos are updated (or added if it occurs there already).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9014
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9015 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9016
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9017 always @ (/*AUTOSENSE*/) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9018 /* AUTO_CONSTANT (`constant) */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9019 outin = ina | inb | `constant;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9020 out = outin;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9021 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9022
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9023 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9024
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9025 always @ (/*AUTOSENSE*/ina or inb) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9026 /* AUTO_CONSTANT (`constant) */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9027 outin = ina | inb | `constant;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9028 out = outin;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9029 end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9030 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9031 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9032 (let* ((start-pt (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9033 (verilog-re-search-backward "(" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9034 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9035 (indent-pt (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9036 (or (and (goto-char start-pt) (1+ (current-column)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9037 (current-indentation))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9038 (modi (verilog-modi-current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9039 (sig-memories (verilog-signals-memory
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9040 (append
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9041 (verilog-modi-get-regs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9042 (verilog-modi-get-wires modi))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9043 sig-list not-first presense-sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9044 ;; Read signals in always, eliminate outputs from sense list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9045 (setq presense-sigs (verilog-signals-from-signame
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9046 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9047 (verilog-read-signals start-pt (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9048 (setq sig-list (verilog-auto-sense-sigs modi presense-sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9049 (when sig-memories
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9050 (let ((tlen (length sig-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9051 (setq sig-list (verilog-signals-not-in sig-list sig-memories))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9052 (if (not (eq tlen (length sig-list))) (insert " /*memory or*/ "))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9053 (if (and presense-sigs ;; Add a "or" if not "(.... or /*AUTOSENSE*/"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9054 (save-excursion (goto-char (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9055 (verilog-re-search-backward "[a-zA-Z0-9$_.%`]+" start-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9056 (verilog-re-search-backward "\\s-" start-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9057 (while (looking-at "\\s-`endif")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9058 (verilog-re-search-backward "[a-zA-Z0-9$_.%`]+" start-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9059 (verilog-re-search-backward "\\s-" start-pt t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9060 (not (looking-at "\\s-or\\b"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9061 (setq not-first t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9062 (setq sig-list (sort sig-list `verilog-signals-sort-compare))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9063 (while sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9064 (cond ((> (+ 4 (current-column) (length (verilog-sig-name (car sig-list)))) fill-column) ;+4 for width of or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9065 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9066 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9067 (if not-first (insert "or ")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9068 (not-first (insert " or ")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9069 (insert (verilog-sig-name (car sig-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9070 (setq sig-list (cdr sig-list)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9071 not-first t)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9072
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9073 (defun verilog-auto-reset ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9074 "Expand AUTORESET statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9075 Replace the /*AUTORESET*/ comment with code to initialize all
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9076 registers set elsewhere in the always block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9077
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9078 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9079 AUTORESET will not clear memories.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9080
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9081 AUTORESET uses <= if there are any <= in the block, else it uses =.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9082
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9083 /*AUTORESET*/ presumes that any signals mentioned between the previous
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9084 begin/case/if statement and the AUTORESET comment are being reset manually
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9085 and should not be automatically reset. This includes omitting any signals
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9086 used on the right hand side of assignments.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9087
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9088 By default, AUTORESET will include the width of the signal in the autos,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9089 this is a recent change. To control this behavior, see
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9090 `verilog-auto-reset-widths'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9091
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9092 AUTORESET ties signals to deasserted, which is presumed to be zero.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9093 Signals that match `verilog-active-low-regexp' will be deasserted by tieing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9094 them to a one.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9095
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9096 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9097
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9098 always @(posedge clk or negedge reset_l) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9099 if (!reset_l) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9100 c <= 1;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9101 /*AUTORESET*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9102 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9103 else begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9104 a <= in_a;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9105 b <= in_b;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9106 c <= in_c;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9107 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9108 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9109
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9110 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9111
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9112 always @(posedge core_clk or negedge reset_l) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9113 if (!reset_l) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9114 c <= 1;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9115 /*AUTORESET*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9116 // Beginning of autoreset for uninitialized flops
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9117 a <= 0;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9118 b <= 0;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9119 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9120 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9121 else begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9122 a <= in_a;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9123 b <= in_b;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9124 c <= in_c;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9125 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9126 end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9127
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9128 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9129 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9130 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9131 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9132 (modi (verilog-modi-current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9133 (all-list (verilog-modi-get-signals modi))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9134 sigss sig-list prereset-sigs assignment-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9135 ;; Read signals in always, eliminate outputs from reset list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9136 (setq prereset-sigs (verilog-signals-from-signame
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9137 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9138 (verilog-read-signals
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9139 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9140 (verilog-re-search-backward "\\(@\\|\\<begin\\>\\|\\<if\\>\\|\\<case\\>\\)" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9141 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9142 (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9143 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9144 (verilog-re-search-backward "@" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9145 (setq sigss (verilog-read-always-signals)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9146 (setq assignment-str (if (verilog-alw-get-uses-delayed sigss)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9147 (concat " <= " verilog-assignment-delay)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9148 " = "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9149 (setq sig-list (verilog-signals-not-in (verilog-alw-get-outputs sigss)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9150 prereset-sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9151 (setq sig-list (sort sig-list `verilog-signals-sort-compare))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9152 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9153 (insert "\n");
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9154 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9155 (insert "// Beginning of autoreset for uninitialized flops\n");
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9156 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9157 (while sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9158 (let ((sig (or (assoc (verilog-sig-name (car sig-list)) all-list) ;; As sig-list has no widths
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9159 (car sig-list))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9160 (insert (verilog-sig-name sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9161 assignment-str
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9162 (verilog-sig-tieoff sig (not verilog-auto-reset-widths))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9163 ";\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9164 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9165 (setq sig-list (cdr sig-list))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9166 (insert "// End of automatics")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9167
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9168 (defun verilog-auto-tieoff ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9169 "Expand AUTOTIEOFF statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9170 Replace the /*AUTOTIEOFF*/ comment with code to wire-tie all unused output
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9171 signals to deasserted.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9172
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9173 /*AUTOTIEOFF*/ is used to make stub modules; modules that have the same
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9174 input/output list as another module, but no internals. Specifically, it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9175 finds all outputs in the module, and if that input is not otherwise declared
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9176 as a register or wire, creates a tieoff.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9177
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9178 AUTORESET ties signals to deasserted, which is presumed to be zero.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9179 Signals that match `verilog-active-low-regexp' will be deasserted by tieing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9180 them to a one.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9181
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9182 An example of making a stub for another module:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9183
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9184 module FooStub (/*AUTOINST*/);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9185 /*AUTOINOUTMODULE(\"Foo\")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9186 /*AUTOTIEOFF*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9187 // verilator lint_off UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9188 wire _unused_ok = &{1'b0,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9189 /*AUTOUNUSED*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9190 1'b0};
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9191 // verilator lint_on UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9192 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9193
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9194 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9195
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9196 module FooStub (/*AUTOINST*/...);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9197 /*AUTOINOUTMODULE(\"Foo\")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9198 // Beginning of autotieoff
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9199 output [2:0] foo;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9200 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9201
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9202 /*AUTOTIEOFF*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9203 // Beginning of autotieoff
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9204 wire [2:0] foo = 3'b0;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9205 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9206 ...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9207 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9208 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9209 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9210 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9211 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9212 (modi (verilog-modi-current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9213 (sig-list (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9214 (verilog-modi-get-outputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9215 (append (verilog-modi-get-wires modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9216 (verilog-modi-get-regs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9217 (verilog-modi-get-assigns modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9218 (verilog-modi-get-consts modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9219 (verilog-modi-get-gparams modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9220 (verilog-modi-get-sub-outputs modi)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9221 (verilog-modi-get-sub-inouts modi)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9222 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9223 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9224 (verilog-insert-indent "// Beginning of automatic tieoffs (for this module's unterminated outputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9225 (setq sig-list (sort (copy-alist sig-list) `verilog-signals-sort-compare))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9226 (verilog-modi-cache-add-wires modi sig-list) ; Before we trash list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9227 (while sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9228 (let ((sig (car sig-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9229 (verilog-insert-one-definition sig "wire" indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9230 (indent-to (max 48 (+ indent-pt 40)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9231 (insert "= " (verilog-sig-tieoff sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9232 ";\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9233 (setq sig-list (cdr sig-list))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9234 (verilog-insert-indent "// End of automatics\n")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9235
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9236 (defun verilog-auto-unused ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9237 "Expand AUTOUNUSED statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9238 Replace the /*AUTOUNUSED*/ comment with a comma separated list of all unused
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9239 input and inout signals.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9240
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9241 /*AUTOUNUSED*/ is used to make stub modules; modules that have the same
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9242 input/output list as another module, but no internals. Specifically, it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9243 finds all inputs and inouts in the module, and if that input is not otherwise
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9244 used, adds it to a comma separated list.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9245
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9246 The comma separated list is intended to be used to create a _unused_ok
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9247 signal. Using the exact name \"_unused_ok\" for name of the temporary
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9248 signal is recommended as it will insure maximum forward compatibility, it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9249 also makes lint warnings easy to understand; ignore any unused warnings
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9250 with \"unused\" in the signal name.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9251
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9252 To reduce simulation time, the _unused_ok signal should be forced to a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9253 constant to prevent wiggling. The easiest thing to do is use a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9254 reduction-and with 1'b0 as shown.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9255
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9256 This way all unused signals are in one place, making it convenient to add
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9257 your tool's specific pragmas around the assignment to disable any unused
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9258 warnings.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9259
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9260 You can add signals you do not want included in AUTOUNUSED with
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9261 `verilog-auto-unused-ignore-regexp'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9262
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9263 An example of making a stub for another module:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9264
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9265 module FooStub (/*AUTOINST*/);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9266 /*AUTOINOUTMODULE(\"Foo\")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9267 /*AUTOTIEOFF*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9268 // verilator lint_off UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9269 wire _unused_ok = &{1'b0,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9270 /*AUTOUNUSED*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9271 1'b0};
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9272 // verilator lint_on UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9273 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9274
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9275 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9276
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9277 ...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9278 // verilator lint_off UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9279 wire _unused_ok = &{1'b0,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9280 /*AUTOUNUSED*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9281 // Beginning of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9282 unused_input_a,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9283 unused_input_b,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9284 unused_input_c,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9285 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9286 1'b0};
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9287 // verilator lint_on UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9288 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9289 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9290 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9291 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9292 (let* ((indent-pt (progn (search-backward "/*") (current-column)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9293 (modi (verilog-modi-current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9294 (sig-list (verilog-signals-not-in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9295 (append (verilog-modi-get-inputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9296 (verilog-modi-get-inouts modi))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9297 (append (verilog-modi-get-sub-inputs modi)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9298 (verilog-modi-get-sub-inouts modi)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9299 (setq sig-list (verilog-signals-not-matching-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9300 sig-list verilog-auto-unused-ignore-regexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9301 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9302 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9303 (verilog-insert-indent "// Beginning of automatic unused inputs\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9304 (setq sig-list (sort (copy-alist sig-list) `verilog-signals-sort-compare))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9305 (while sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9306 (let ((sig (car sig-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9307 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9308 (insert (verilog-sig-name sig) ",\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9309 (setq sig-list (cdr sig-list))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9310 (verilog-insert-indent "// End of automatics\n")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9311
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9312 (defun verilog-enum-ascii (signm elim-regexp)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9313 "Convert an enum name SIGNM to an ascii string for insertion.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9314 Remove user provided prefix ELIM-REGEXP."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9315 (or elim-regexp (setq elim-regexp "_ DONT MATCH IT_"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9316 (let ((case-fold-search t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9317 ;; All upper becomes all lower for readability
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9318 (downcase (verilog-string-replace-matches elim-regexp "" nil nil signm))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9319
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9320 (defun verilog-auto-ascii-enum ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9321 "Expand AUTOASCIIENUM statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9322 Create a register to contain the ASCII decode of a enumerated signal type.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9323 This will allow trace viewers to show the ASCII name of states.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9324
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9325 First, parameters are built into a enumeration using the synopsys enum
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9326 comment. The comment must be between the keyword and the symbol.
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9327 \(Annoying, but that's what Synopsys's dc_shell FSM reader requires.)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9328
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9329 Next, registers which that enum applies to are also tagged with the same
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9330 enum. Synopsys also suggests labeling state vectors, but `verilog-mode'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9331 doesn't care.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9332
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9333 Finally, a AUTOASCIIENUM command is used.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9334
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9335 The first parameter is the name of the signal to be decoded.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9336
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9337 The second parameter is the name to store the ASCII code into. For the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9338 signal foo, I suggest the name _foo__ascii, where the leading _ indicates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9339 a signal that is just for simulation, and the magic characters _ascii
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9340 tell viewers like Dinotrace to display in ASCII format.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9341
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9342 The final optional parameter is a string which will be removed from the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9343 state names.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9344
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9345 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9346
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9347 //== State enumeration
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9348 parameter [2:0] // synopsys enum state_info
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9349 SM_IDLE = 3'b000,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9350 SM_SEND = 3'b001,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9351 SM_WAIT1 = 3'b010;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9352 //== State variables
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9353 reg [2:0] /* synopsys enum state_info */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9354 state_r; /* synopsys state_vector state_r */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9355 reg [2:0] /* synopsys enum state_info */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9356 state_e1;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9357
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9358 //== ASCII state decoding
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9359
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9360 /*AUTOASCIIENUM(\"state_r\", \"state_ascii_r\", \"SM_\")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9361
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9362 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9363
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9364 ... same front matter ...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9365
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9366 /*AUTOASCIIENUM(\"state_r\", \"state_ascii_r\", \"SM_\")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9367 // Beginning of automatic ASCII enum decoding
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9368 reg [39:0] state_ascii_r; // Decode of state_r
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9369 always @(state_r) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9370 case ({state_r})
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9371 SM_IDLE: state_ascii_r = \"idle \";
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9372 SM_SEND: state_ascii_r = \"send \";
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9373 SM_WAIT1: state_ascii_r = \"wait1\";
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9374 default: state_ascii_r = \"%Erro\";
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9375 endcase
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9376 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9377 // End of automatics"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9378 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9379 (let* ((params (verilog-read-auto-params 2 3))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9380 (undecode-name (nth 0 params))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9381 (ascii-name (nth 1 params))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9382 (elim-regexp (nth 2 params))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9383 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9384 (indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9385 (modi (verilog-modi-current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9386 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9387 (sig-list-consts (append (verilog-modi-get-consts modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9388 (verilog-modi-get-gparams modi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9389 (sig-list-all (append (verilog-modi-get-regs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9390 (verilog-modi-get-outputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9391 (verilog-modi-get-inouts modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9392 (verilog-modi-get-inputs modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9393 (verilog-modi-get-wires modi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9394 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9395 (undecode-sig (or (assoc undecode-name sig-list-all)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9396 (error "%s: Signal %s not found in design" (verilog-point-text) undecode-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9397 (undecode-enum (or (verilog-sig-enum undecode-sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9398 (error "%s: Signal %s does not have a enum tag" (verilog-point-text) undecode-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9399 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9400 (enum-sigs (or (verilog-signals-matching-enum sig-list-consts undecode-enum)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9401 (error "%s: No state definitions for %s" (verilog-point-text) undecode-enum)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9402 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9403 (enum-chars 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9404 (ascii-chars 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9405 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9406 ;; Find number of ascii chars needed
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9407 (let ((tmp-sigs enum-sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9408 (while tmp-sigs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9409 (setq enum-chars (max enum-chars (length (verilog-sig-name (car tmp-sigs))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9410 ascii-chars (max ascii-chars (length (verilog-enum-ascii
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9411 (verilog-sig-name (car tmp-sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9412 elim-regexp)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9413 tmp-sigs (cdr tmp-sigs))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9414 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9415 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9416 (verilog-insert-indent "// Beginning of automatic ASCII enum decoding\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9417 (let ((decode-sig-list (list (list ascii-name (format "[%d:0]" (- (* ascii-chars 8) 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9418 (concat "Decode of " undecode-name) nil nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9419 (verilog-insert-definition decode-sig-list "reg" indent-pt nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9420 (verilog-modi-cache-add-regs modi decode-sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9421 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9422 (verilog-insert-indent "always @(" undecode-name ") begin\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9423 (setq indent-pt (+ indent-pt verilog-indent-level))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9424 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9425 (insert "case ({" undecode-name "})\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9426 (setq indent-pt (+ indent-pt verilog-case-indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9427 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9428 (let ((tmp-sigs enum-sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9429 (chrfmt (format "%%-%ds %s = \"%%-%ds\";\n" (1+ (max 8 enum-chars))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9430 ascii-name ascii-chars))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9431 (errname (substring "%Error" 0 (min 6 ascii-chars))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9432 (while tmp-sigs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9433 (verilog-insert-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9434 (format chrfmt (concat (verilog-sig-name (car tmp-sigs)) ":")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9435 (verilog-enum-ascii (verilog-sig-name (car tmp-sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9436 elim-regexp)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9437 (setq tmp-sigs (cdr tmp-sigs)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9438 (verilog-insert-indent (format chrfmt "default:" errname)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9439 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9440 (setq indent-pt (- indent-pt verilog-case-indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9441 (verilog-insert-indent "endcase\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9442 (setq indent-pt (- indent-pt verilog-indent-level))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9443 (verilog-insert-indent "end\n"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9444 "// End of automatics\n"))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9445
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9446 (defun verilog-auto-templated-rel ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9447 "Replace Templated relative line numbers with absolute line numbers.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9448 Internal use only. This hacks around the line numbers in AUTOINST Templates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9449 being different from the final output's line numbering."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9450 (let ((templateno 0) (template-line (list 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9451 ;; Find line number each template is on
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9452 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9453 (while (search-forward "AUTO_TEMPLATE" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9454 (setq templateno (1+ templateno))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9455 (setq template-line
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9456 (cons (count-lines (point-min) (point)) template-line)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9457 (setq template-line (nreverse template-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9458 ;; Replace T# L# with absolute line number
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9459 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9460 (while (re-search-forward " Templated T\\([0-9]+\\) L\\([0-9]+\\)" nil t)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9461 (replace-match
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9462 (concat " Templated "
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9463 (int-to-string (+ (nth (string-to-number (match-string 1))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9464 template-line)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9465 (string-to-number (match-string 2)))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9466 t t))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9467
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9468
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9469 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9470 ;; Auto top level
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9471 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9472
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9473 (defun verilog-auto (&optional inject) ; Use verilog-inject-auto instead of passing a arg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9474 "Expand AUTO statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9475 Look for any /*AUTO...*/ commands in the code, as used in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9476 instantiations or argument headers. Update the list of signals
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9477 following the /*AUTO...*/ command.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9478
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9479 Use \\[verilog-delete-auto] to remove the AUTOs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9480
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9481 Use \\[verilog-inject-auto] to insert AUTOs for the first time.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9482
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9483 Use \\[verilog-faq] for a pointer to frequently asked questions.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9484
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9485 The hooks `verilog-before-auto-hook' and `verilog-auto-hook' are
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9486 called before and after this function, respectively.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9487
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9488 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9489 module (/*AUTOARG*/)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9490 /*AUTOINPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9491 /*AUTOOUTPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9492 /*AUTOWIRE*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9493 /*AUTOREG*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9494 somesub sub #(/*AUTOINSTPARAM*/) (/*AUTOINST*/);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9495
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9496 You can also update the AUTOs from the shell using:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9497 emacs --batch <filenames.v> -f verilog-batch-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9498 Or fix indentation with:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9499 emacs --batch <filenames.v> -f verilog-batch-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9500 Likewise, you can delete or inject AUTOs with:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9501 emacs --batch <filenames.v> -f verilog-batch-delete-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9502 emacs --batch <filenames.v> -f verilog-batch-inject-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9503
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9504 Using \\[describe-function], see also:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9505 `verilog-auto-arg' for AUTOARG module instantiations
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9506 `verilog-auto-ascii-enum' for AUTOASCIIENUM enumeration decoding
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9507 `verilog-auto-inout-module' for AUTOINOUTMODULE copying i/o from elsewhere
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9508 `verilog-auto-inout' for AUTOINOUT making hierarchy inouts
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9509 `verilog-auto-input' for AUTOINPUT making hierarchy inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9510 `verilog-auto-inst' for AUTOINST instantiation pins
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9511 `verilog-auto-star' for AUTOINST .* SystemVerilog pins
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9512 `verilog-auto-inst-param' for AUTOINSTPARAM instantiation params
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9513 `verilog-auto-output' for AUTOOUTPUT making hierarchy outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9514 `verilog-auto-output-every' for AUTOOUTPUTEVERY making all outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9515 `verilog-auto-reg' for AUTOREG registers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9516 `verilog-auto-reg-input' for AUTOREGINPUT instantiation registers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9517 `verilog-auto-reset' for AUTORESET flop resets
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9518 `verilog-auto-sense' for AUTOSENSE always sensitivity lists
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9519 `verilog-auto-tieoff' for AUTOTIEOFF output tieoffs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9520 `verilog-auto-unused' for AUTOUNUSED unused inputs/inouts
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9521 `verilog-auto-wire' for AUTOWIRE instantiation wires
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9522
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9523 `verilog-read-defines' for reading `define values
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9524 `verilog-read-includes' for reading `includes
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9525
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9526 If you have bugs with these autos, try contacting the AUTOAUTHOR
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9527 Wilson Snyder (wsnyder@wsnyder.org), and/or see http://www.veripool.com."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9528 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9529 (unless noninteractive (message "Updating AUTOs..."))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9530 (if (fboundp 'dinotrace-unannotate-all)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9531 (dinotrace-unannotate-all))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9532 (let ((oldbuf (if (not (buffer-modified-p))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9533 (buffer-string)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9534 ;; Before version 20, match-string with font-lock returns a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9535 ;; vector that is not equal to the string. IE if on "input"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9536 ;; nil==(equal "input" (progn (looking-at "input") (match-string 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9537 (fontlocked (when (and (boundp 'font-lock-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9538 font-lock-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9539 (font-lock-mode nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9540 t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9541 (unwind-protect
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9542 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9543 ;; If we're not in verilog-mode, change syntax table so parsing works right
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9544 (unless (eq major-mode `verilog-mode) (verilog-mode))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9545 ;; Allow user to customize
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9546 (run-hooks 'verilog-before-auto-hook)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9547 ;; Try to save the user from needing to revert-file to reread file local-variables
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9548 (verilog-auto-reeval-locals)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9549 (verilog-read-auto-lisp (point-min) (point-max))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9550 (verilog-getopt-flags)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9551 ;; These two may seem obvious to do always, but on large includes it can be way too slow
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9552 (when verilog-auto-read-includes
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9553 (verilog-read-includes)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9554 (verilog-read-defines nil nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9555 ;; This particular ordering is important
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9556 ;; INST: Lower modules correct, no internal dependencies, FIRST
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9557 (verilog-preserve-cache
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9558 ;; Clear existing autos else we'll be screwed by existing ones
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9559 (verilog-delete-auto)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9560 ;; Injection if appropriate
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9561 (when inject
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9562 (verilog-inject-inst)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9563 (verilog-inject-sense)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9564 (verilog-inject-arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9565 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9566 (verilog-auto-search-do "/*AUTOINSTPARAM*/" 'verilog-auto-inst-param)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9567 (verilog-auto-search-do "/*AUTOINST*/" 'verilog-auto-inst)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9568 (verilog-auto-search-do ".*" 'verilog-auto-star)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9569 ;; Doesn't matter when done, but combine it with a common changer
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9570 (verilog-auto-re-search-do "/\\*\\(AUTOSENSE\\|AS\\)\\*/" 'verilog-auto-sense)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9571 (verilog-auto-re-search-do "/\\*AUTORESET\\*/" 'verilog-auto-reset)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9572 ;; Must be done before autoin/out as creates a reg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9573 (verilog-auto-re-search-do "/\\*AUTOASCIIENUM([^)]*)\\*/" 'verilog-auto-ascii-enum)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9574 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9575 ;; first in/outs from other files
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9576 (verilog-auto-re-search-do "/\\*AUTOINOUTMODULE([^)]*)\\*/" 'verilog-auto-inout-module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9577 ;; next in/outs which need previous sucked inputs first
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9578 (verilog-auto-search-do "/*AUTOOUTPUT*/" 'verilog-auto-output)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9579 (verilog-auto-search-do "/*AUTOINPUT*/" 'verilog-auto-input)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9580 (verilog-auto-search-do "/*AUTOINOUT*/" 'verilog-auto-inout)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9581 ;; Then tie off those in/outs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9582 (verilog-auto-search-do "/*AUTOTIEOFF*/" 'verilog-auto-tieoff)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9583 ;; Wires/regs must be after inputs/outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9584 (verilog-auto-search-do "/*AUTOWIRE*/" 'verilog-auto-wire)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9585 (verilog-auto-search-do "/*AUTOREG*/" 'verilog-auto-reg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9586 (verilog-auto-search-do "/*AUTOREGINPUT*/" 'verilog-auto-reg-input)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9587 ;; outputevery needs AUTOOUTPUTs done first
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9588 (verilog-auto-search-do "/*AUTOOUTPUTEVERY*/" 'verilog-auto-output-every)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9589 ;; After we've created all new variables
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9590 (verilog-auto-search-do "/*AUTOUNUSED*/" 'verilog-auto-unused)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9591 ;; Must be after all inputs outputs are generated
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9592 (verilog-auto-search-do "/*AUTOARG*/" 'verilog-auto-arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9593 ;; Fix line numbers (comments only)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9594 (verilog-auto-templated-rel))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9595 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9596 (run-hooks 'verilog-auto-hook)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9597 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9598 (set (make-local-variable 'verilog-auto-update-tick) (buffer-modified-tick))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9599 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9600 ;; If end result is same as when started, clear modified flag
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9601 (cond ((and oldbuf (equal oldbuf (buffer-string)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9602 (set-buffer-modified-p nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9603 (unless noninteractive (message "Updating AUTOs...done (no changes)")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9604 (t (unless noninteractive (message "Updating AUTOs...done")))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9605 ;; Unwind forms
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9606 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9607 ;; Restore font-lock
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9608 (when fontlocked (font-lock-mode t))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9609
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9610
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9611 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9612 ;; Skeleton based code insertion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9613 ;;
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9614 (defvar verilog-template-map
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9615 (let ((map (make-sparse-keymap)))
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9616 (define-key map "a" 'verilog-sk-always)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9617 (define-key map "b" 'verilog-sk-begin)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9618 (define-key map "c" 'verilog-sk-case)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9619 (define-key map "f" 'verilog-sk-for)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9620 (define-key map "g" 'verilog-sk-generate)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9621 (define-key map "h" 'verilog-sk-header)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9622 (define-key map "i" 'verilog-sk-initial)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9623 (define-key map "j" 'verilog-sk-fork)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9624 (define-key map "m" 'verilog-sk-module)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9625 (define-key map "p" 'verilog-sk-primitive)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9626 (define-key map "r" 'verilog-sk-repeat)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9627 (define-key map "s" 'verilog-sk-specify)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9628 (define-key map "t" 'verilog-sk-task)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9629 (define-key map "w" 'verilog-sk-while)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9630 (define-key map "x" 'verilog-sk-casex)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9631 (define-key map "z" 'verilog-sk-casez)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9632 (define-key map "?" 'verilog-sk-if)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9633 (define-key map ":" 'verilog-sk-else-if)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9634 (define-key map "/" 'verilog-sk-comment)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9635 (define-key map "A" 'verilog-sk-assign)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9636 (define-key map "F" 'verilog-sk-function)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9637 (define-key map "I" 'verilog-sk-input)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9638 (define-key map "O" 'verilog-sk-output)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9639 (define-key map "S" 'verilog-sk-state-machine)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9640 (define-key map "=" 'verilog-sk-inout)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9641 (define-key map "W" 'verilog-sk-wire)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9642 (define-key map "R" 'verilog-sk-reg)
79550
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
9643 (define-key map "D" 'verilog-sk-define-signal)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
9644 map)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9645 "Keymap used in Verilog mode for smart template operations.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9646
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9647
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9648 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9649 ;; Place the templates into Verilog Mode. They may be inserted under any key.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9650 ;; C-c C-t will be the default. If you use templates a lot, you
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9651 ;; may want to consider moving the binding to another key in your .emacs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9652 ;; file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9653 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9654 ;(define-key verilog-mode-map "\C-ct" verilog-template-map)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9655 (define-key verilog-mode-map "\C-c\C-t" verilog-template-map)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9656
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9657 ;;; ---- statement skeletons ------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9658
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9659 (define-skeleton verilog-sk-prompt-condition
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9660 "Prompt for the loop condition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9661 "[condition]: " str )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9662
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9663 (define-skeleton verilog-sk-prompt-init
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9664 "Prompt for the loop init statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9665 "[initial statement]: " str )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9666
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9667 (define-skeleton verilog-sk-prompt-inc
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9668 "Prompt for the loop increment statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9669 "[increment statement]: " str )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9670
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9671 (define-skeleton verilog-sk-prompt-name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9672 "Prompt for the name of something."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9673 "[name]: " str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9674
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9675 (define-skeleton verilog-sk-prompt-clock
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9676 "Prompt for the name of something."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9677 "name and edge of clock(s): " str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9678
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9679 (defvar verilog-sk-reset nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9680 (defun verilog-sk-prompt-reset ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9681 "Prompt for the name of a state machine reset."
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9682 (setq verilog-sk-reset (read-string "name of reset: " "rst")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9683
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9684
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9685 (define-skeleton verilog-sk-prompt-state-selector
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9686 "Prompt for the name of a state machine selector."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9687 "name of selector (eg {a,b,c,d}): " str )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9688
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9689 (define-skeleton verilog-sk-prompt-output
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9690 "Prompt for the name of something."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9691 "output: " str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9692
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9693 (define-skeleton verilog-sk-prompt-msb
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9694 "Prompt for least significant bit specification."
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9695 "msb:" str & ?: & '(verilog-sk-prompt-lsb) | -1 )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9696
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9697 (define-skeleton verilog-sk-prompt-lsb
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9698 "Prompt for least significant bit specification."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9699 "lsb:" str )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9700
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9701 (defvar verilog-sk-p nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9702 (define-skeleton verilog-sk-prompt-width
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9703 "Prompt for a width specification."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9704 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9705 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9706 (setq verilog-sk-p (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9707 (verilog-sk-prompt-msb)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9708 (if (> (point) verilog-sk-p) "] " " ")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9709
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9710 (defun verilog-sk-header ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9711 "Insert a descriptive header at the top of the file."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9712 (interactive "*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9713 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9714 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9715 (verilog-sk-header-tmpl)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9716
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9717 (define-skeleton verilog-sk-header-tmpl
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9718 "Insert a comment block containing the module title, author, etc."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9719 "[Description]: "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9720 "// -*- Mode: Verilog -*-"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9721 "\n// Filename : " (buffer-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9722 "\n// Description : " str
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9723 "\n// Author : " (user-full-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9724 "\n// Created On : " (current-time-string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9725 "\n// Last Modified By: ."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9726 "\n// Last Modified On: ."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9727 "\n// Update Count : 0"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9728 "\n// Status : Unknown, Use with caution!"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9729 "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9730
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9731 (define-skeleton verilog-sk-module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9732 "Insert a module definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9733 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9734 > "module " '(verilog-sk-prompt-name) " (/*AUTOARG*/ ) ;" \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9735 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9736 > (- verilog-indent-level-behavioral) "endmodule" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9737
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9738 (define-skeleton verilog-sk-primitive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9739 "Insert a task definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9740 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9741 > "primitive " '(verilog-sk-prompt-name) " ( " '(verilog-sk-prompt-output) ("input:" ", " str ) " );"\n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9742 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9743 > (- verilog-indent-level-behavioral) "endprimitive" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9744
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9745 (define-skeleton verilog-sk-task
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9746 "Insert a task definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9747 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9748 > "task " '(verilog-sk-prompt-name) & ?; \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9749 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9750 > "begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9751 > \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9752 > (- verilog-indent-level-behavioral) "end" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9753 > (- verilog-indent-level-behavioral) "endtask" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9754
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9755 (define-skeleton verilog-sk-function
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9756 "Insert a function definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9757 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9758 > "function [" '(verilog-sk-prompt-width) | -1 '(verilog-sk-prompt-name) ?; \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9759 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9760 > "begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9761 > \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9762 > (- verilog-indent-level-behavioral) "end" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9763 > (- verilog-indent-level-behavioral) "endfunction" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9764
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9765 (define-skeleton verilog-sk-always
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9766 "Insert always block. Uses the minibuffer to prompt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9767 for sensitivity list."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9768 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9769 > "always @ ( /*AUTOSENSE*/ ) begin\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9770 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9771 > (- verilog-indent-level-behavioral) "end" \n >
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9772 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9773
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9774 (define-skeleton verilog-sk-initial
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9775 "Insert an initial block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9776 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9777 > "initial begin\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9778 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9779 > (- verilog-indent-level-behavioral) "end" \n > )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9780
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9781 (define-skeleton verilog-sk-specify
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9782 "Insert specify block. "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9783 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9784 > "specify\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9785 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9786 > (- verilog-indent-level-behavioral) "endspecify" \n > )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9787
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9788 (define-skeleton verilog-sk-generate
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9789 "Insert generate block. "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9790 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9791 > "generate\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9792 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9793 > (- verilog-indent-level-behavioral) "endgenerate" \n > )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9794
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9795 (define-skeleton verilog-sk-begin
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9796 "Insert begin end block. Uses the minibuffer to prompt for name."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9797 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9798 > "begin" '(verilog-sk-prompt-name) \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9799 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9800 > (- verilog-indent-level-behavioral) "end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9801 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9802
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9803 (define-skeleton verilog-sk-fork
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9804 "Insert a fork join block."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9805 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9806 > "fork\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9807 > "begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9808 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9809 > (- verilog-indent-level-behavioral) "end" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9810 > "begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9811 > \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9812 > (- verilog-indent-level-behavioral) "end" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9813 > (- verilog-indent-level-behavioral) "join" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9814 > )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9815
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9816
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9817 (define-skeleton verilog-sk-case
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9818 "Build skeleton case statement, prompting for the selector expression,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9819 and the case items."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9820 "[selector expression]: "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9821 > "case (" str ") " \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9822 > ("case selector: " str ": begin" \n > _ \n > (- verilog-indent-level-behavioral) "end" \n )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9823 resume: > (- verilog-case-indent) "endcase" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9824
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9825 (define-skeleton verilog-sk-casex
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9826 "Build skeleton casex statement, prompting for the selector expression,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9827 and the case items."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9828 "[selector expression]: "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9829 > "casex (" str ") " \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9830 > ("case selector: " str ": begin" \n > _ \n > (- verilog-indent-level-behavioral) "end" \n )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9831 resume: > (- verilog-case-indent) "endcase" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9832
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9833 (define-skeleton verilog-sk-casez
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9834 "Build skeleton casez statement, prompting for the selector expression,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9835 and the case items."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9836 "[selector expression]: "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9837 > "casez (" str ") " \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9838 > ("case selector: " str ": begin" \n > _ \n > (- verilog-indent-level-behavioral) "end" \n )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9839 resume: > (- verilog-case-indent) "endcase" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9840
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9841 (define-skeleton verilog-sk-if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9842 "Insert a skeleton if statement."
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9843 > "if (" '(verilog-sk-prompt-condition) & ")" " begin" \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9844 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9845 > (- verilog-indent-level-behavioral) "end " \n )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9846
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9847 (define-skeleton verilog-sk-else-if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9848 "Insert a skeleton else if statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9849 > (verilog-indent-line) "else if ("
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9850 (progn (setq verilog-sk-p (point)) nil) '(verilog-sk-prompt-condition) (if (> (point) verilog-sk-p) ") " -1 ) & " begin" \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9851 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9852 > "end" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9853
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9854 (define-skeleton verilog-sk-datadef
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9855 "Common routine to get data definition."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9856 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9857 '(verilog-sk-prompt-width) | -1 ("name (RET to end):" str ", ") -2 ";" \n)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9858
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9859 (define-skeleton verilog-sk-input
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9860 "Insert an input definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9861 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9862 > "input [" '(verilog-sk-datadef))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9863
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9864 (define-skeleton verilog-sk-output
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9865 "Insert an output definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9866 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9867 > "output [" '(verilog-sk-datadef))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9868
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9869 (define-skeleton verilog-sk-inout
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9870 "Insert an inout definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9871 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9872 > "inout [" '(verilog-sk-datadef))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9873
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9874 (defvar verilog-sk-signal nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9875 (define-skeleton verilog-sk-def-reg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9876 "Insert a reg definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9877 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9878 > "reg [" '(verilog-sk-prompt-width) | -1 verilog-sk-signal ";" \n (verilog-pretty-declarations) )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9879
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9880 (defun verilog-sk-define-signal ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9881 "Insert a definition of signal under point at top of module."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9882 (interactive "*")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9883 (let* ((sig-re "[a-zA-Z0-9_]*")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9884 (v1 (buffer-substring
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9885 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9886 (skip-chars-backward sig-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9887 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9888 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9889 (skip-chars-forward sig-re)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9890 (point)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9891 (if (not (member v1 verilog-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9892 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9893 (setq verilog-sk-signal v1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9894 (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9895 (verilog-end-of-statement)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9896 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9897 (verilog-sk-def-reg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9898 (message "signal at point is %s" v1))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9899 (message "object at point (%s) is a keyword" v1))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9900
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9901 (define-skeleton verilog-sk-wire
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9902 "Insert a wire definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9903 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9904 > "wire [" '(verilog-sk-datadef))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9905
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9906 (define-skeleton verilog-sk-reg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9907 "Insert a reg definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9908 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9909 > "reg [" '(verilog-sk-datadef))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9910
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9911 (define-skeleton verilog-sk-assign
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9912 "Insert a skeleton assign statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9913 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9914 > "assign " '(verilog-sk-prompt-name) " = " _ ";" \n)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9915
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9916 (define-skeleton verilog-sk-while
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9917 "Insert a skeleton while loop statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9918 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9919 > "while (" '(verilog-sk-prompt-condition) ") begin" \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9920 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9921 > (- verilog-indent-level-behavioral) "end " (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9922
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9923 (define-skeleton verilog-sk-repeat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9924 "Insert a skeleton repeat loop statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9925 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9926 > "repeat (" '(verilog-sk-prompt-condition) ") begin" \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9927 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9928 > (- verilog-indent-level-behavioral) "end " (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9929
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9930 (define-skeleton verilog-sk-for
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9931 "Insert a skeleton while loop statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9932 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9933 > "for ("
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9934 '(verilog-sk-prompt-init) "; "
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9935 '(verilog-sk-prompt-condition) "; "
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9936 '(verilog-sk-prompt-inc)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9937 ") begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9938 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9939 > (- verilog-indent-level-behavioral) "end " (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9940
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9941 (define-skeleton verilog-sk-comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9942 "Inserts three comment lines, making a display comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9943 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9944 > "/*\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9945 > "* " _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9946 > "*/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9947
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9948 (define-skeleton verilog-sk-state-machine
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9949 "Insert a state machine definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9950 "Name of state variable: "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9951 '(setq input "state")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9952 > "// State registers for " str | -23 \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9953 '(setq verilog-sk-state str)
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9954 > "reg [" '(verilog-sk-prompt-width) | -1 verilog-sk-state ", next_" verilog-sk-state ?; \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9955 '(setq input nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9956 > \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9957 > "// State FF for " verilog-sk-state \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9958 > "always @ ( " (read-string "clock:" "posedge clk") " or " (verilog-sk-prompt-reset) " ) begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9959 > "if ( " verilog-sk-reset " ) " verilog-sk-state " = 0; else" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9960 > verilog-sk-state " = next_" verilog-sk-state ?; \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9961 > (- verilog-indent-level-behavioral) "end" (progn (electric-verilog-terminate-line) nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9962 > \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9963 > "// Next State Logic for " verilog-sk-state \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9964 > "always @ ( /*AUTOSENSE*/ ) begin\n"
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
9965 > "case (" '(verilog-sk-prompt-state-selector) ") " \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9966 > ("case selector: " str ": begin" \n > "next_" verilog-sk-state " = " _ ";" \n > (- verilog-indent-level-behavioral) "end" \n )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9967 resume: > (- verilog-case-indent) "endcase" (progn (electric-verilog-terminate-line) nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9968 > (- verilog-indent-level-behavioral) "end" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9969
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9970
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9971 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9972 ;; Include file loading with mouse/return event
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9973 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9974 ;; idea & first impl.: M. Rouat (eldo-mode.el)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9975 ;; second (emacs/xemacs) impl.: G. Van der Plas (spice-mode.el)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9976
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9977 (if (featurep 'xemacs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9978 (require 'overlay)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9979 (require 'lucid)) ;; what else can we do ??
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9980
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9981 (defconst verilog-include-file-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9982 "^`include\\s-+\"\\([^\n\"]*\\)\""
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9983 "Regexp that matches the include file.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9984
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9985 (defvar verilog-mode-mouse-map
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9986 (let ((map (make-sparse-keymap))) ; as described in info pages, make a map
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9987 (set-keymap-parent map verilog-mode-map)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9988 ;; mouse button bindings
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9989 (define-key map "\r" 'verilog-load-file-at-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9990 (if (featurep 'xemacs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9991 (define-key map 'button2 'verilog-load-file-at-mouse);ffap-at-mouse ?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9992 (define-key map [mouse-2] 'verilog-load-file-at-mouse))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9993 (if (featurep 'xemacs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9994 (define-key map 'Sh-button2 'mouse-yank) ; you wanna paste don't you ?
79550
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
9995 (define-key map [S-mouse-2] 'mouse-yank-at-click))
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
9996 map)
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9997 "Map containing mouse bindings for `verilog-mode'.")
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
9998
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9999
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10000 (defun verilog-colorize-include-files (beg end old-len)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10001 "This function colorizes included files when the mouse passes over them.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10002 Clicking on the middle-mouse button loads them in a buffer (as in dired)."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10003 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10004 (save-match-data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10005 (let (end-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10006 (goto-char end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10007 (setq end-point (verilog-get-end-of-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10008 (goto-char beg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10009 (beginning-of-line) ; scan entire line !
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10010 ;; delete overlays existing on this line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10011 (let ((overlays (overlays-in (point) end-point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10012 (while overlays
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10013 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10014 (overlay-get (car overlays) 'detachable)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10015 (overlay-get (car overlays) 'verilog-include-file))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10016 (delete-overlay (car overlays)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10017 (setq overlays (cdr overlays)))) ; let
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10018 ;; make new ones, could reuse deleted one ?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10019 (while (search-forward-regexp verilog-include-file-regexp end-point t)
79550
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10020 (let (ov)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10021 (goto-char (match-beginning 1))
79550
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10022 (setq ov (make-overlay (match-beginning 1) (match-end 1)))
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10023 (overlay-put ov 'start-closed 't)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10024 (overlay-put ov 'end-closed 't)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10025 (overlay-put ov 'evaporate 't)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10026 (overlay-put ov 'verilog-include-file 't)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10027 (overlay-put ov 'mouse-face 'highlight)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10028 (overlay-put ov 'local-map verilog-mode-mouse-map)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10029
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10030
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10031 (defun verilog-colorize-include-files-buffer ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
10032 "Colorize an include file."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10033 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10034 ;; delete overlays
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10035 (let ((overlays (overlays-in (point-min) (point-max))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10036 (while overlays
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10037 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10038 (overlay-get (car overlays) 'detachable)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10039 (overlay-get (car overlays) 'verilog-include-file))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10040 (delete-overlay (car overlays)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10041 (setq overlays (cdr overlays)))) ; let
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10042 ;; remake overlays
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10043 (verilog-colorize-include-files (point-min) (point-max) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10044
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
10045 ;; ffap-at-mouse isn't useful for Verilog mode. It uses library paths.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10046 ;; so define this function to do more or less the same as ffap-at-mouse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10047 ;; but first resolve filename...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10048 (defun verilog-load-file-at-mouse (event)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10049 "Load file under button 2 click's EVENT.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10050 Files are checked based on `verilog-library-directories'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10051 (interactive "@e")
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
10052 (save-excursion ;; implement a Verilog specific ffap-at-mouse
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10053 (mouse-set-point event)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10054 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10055 (if (looking-at verilog-include-file-regexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10056 (if (and (car (verilog-library-filenames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10057 (match-string 1) (buffer-file-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10058 (file-readable-p (car (verilog-library-filenames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10059 (match-string 1) (buffer-file-name)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10060 (find-file (car (verilog-library-filenames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10061 (match-string 1) (buffer-file-name))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10062 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10063 (message
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10064 "File '%s' isn't readable, use shift-mouse2 to paste in this field"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10065 (match-string 1)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10066
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
10067 ;; ffap isn't useable for Verilog mode. It uses library paths.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10068 ;; so define this function to do more or less the same as ffap
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10069 ;; but first resolve filename...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10070 (defun verilog-load-file-at-point ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10071 "Load file under point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10072 Files are checked based on `verilog-library-directories'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10073 (interactive)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
10074 (save-excursion ;; implement a Verilog specific ffap
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10075 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10076 (if (looking-at verilog-include-file-regexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10077 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10078 (car (verilog-library-filenames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10079 (match-string 1) (buffer-file-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10080 (file-readable-p (car (verilog-library-filenames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10081 (match-string 1) (buffer-file-name)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10082 (find-file (car (verilog-library-filenames
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10083 (match-string 1) (buffer-file-name))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10084
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10085
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10086 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10087 ;; Bug reporting
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10088 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10089
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10090 (defun verilog-faq ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10091 "Tell the user their current version, and where to get the FAQ etc."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10092 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10093 (with-output-to-temp-buffer "*verilog-mode help*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10094 (princ (format "You are using verilog-mode %s\n" verilog-mode-version))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10095 (princ "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10096 (princ "For new releases, see http://www.verilog.com\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10097 (princ "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10098 (princ "For frequently asked questions, see http://www.veripool.com/verilog-mode-faq.html\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10099 (princ "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10100 (princ "To submit a bug, use M-x verilog-submit-bug-report\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10101 (princ "\n")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10102
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10103 (autoload 'reporter-submit-bug-report "reporter")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10104 (defvar reporter-prompt-for-summary-p)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10105
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10106 (defun verilog-submit-bug-report ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10107 "Submit via mail a bug report on verilog-mode.el."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10108 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10109 (let ((reporter-prompt-for-summary-p t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10110 (reporter-submit-bug-report
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10111 "mac@verilog.com"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10112 (concat "verilog-mode v" verilog-mode-version)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10113 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10114 verilog-align-ifelse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10115 verilog-auto-endcomments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10116 verilog-auto-hook
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10117 verilog-auto-indent-on-newline
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10118 verilog-auto-inst-vector
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10119 verilog-auto-inst-template-numbers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10120 verilog-auto-lineup
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10121 verilog-auto-newline
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10122 verilog-auto-save-policy
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10123 verilog-auto-sense-defines-constant
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10124 verilog-auto-sense-include-inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10125 verilog-before-auto-hook
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10126 verilog-case-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10127 verilog-cexp-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10128 verilog-compiler
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10129 verilog-coverage
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10130 verilog-highlight-translate-off
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10131 verilog-indent-begin-after-if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10132 verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10133 verilog-indent-level
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10134 verilog-indent-level-behavioral
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10135 verilog-indent-level-declaration
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10136 verilog-indent-level-directive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10137 verilog-indent-level-module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10138 verilog-indent-lists
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10139 verilog-library-flags
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10140 verilog-library-directories
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10141 verilog-library-extensions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10142 verilog-library-files
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10143 verilog-linter
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10144 verilog-minimum-comment-distance
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10145 verilog-mode-hook
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10146 verilog-simulator
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10147 verilog-tab-always-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10148 verilog-tab-to-comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10149 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10150 nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10151 (concat "Hi Mac,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10152
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10153 I want to report a bug. I've read the `Bugs' section of `Info' on
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10154 Emacs, so I know how to make a clear and unambiguous report. To get
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10155 to that Info section, I typed
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10156
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10157 M-x info RET m " invocation-name " RET m bugs RET
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10158
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10159 Before I go further, I want to say that Verilog mode has changed my life.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10160 I save so much time, my files are colored nicely, my co workers respect
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10161 my coding ability... until now. I'd really appreciate anything you
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10162 could do to help me out with this minor deficiency in the product.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10163
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10164 If you have bugs with the AUTO functions, please CC the AUTOAUTHOR Wilson
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10165 Snyder (wsnyder@wsnyder.org) and/or see http://www.veripool.com.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10166 You may also want to look at the Verilog-Mode FAQ, see
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10167 http://www.veripool.com/verilog-mode-faq.html.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10168
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10169 To reproduce the bug, start a fresh Emacs via " invocation-name "
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
10170 -no-init-file -no-site-file'. In a new buffer, in Verilog mode, type
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10171 the code included below.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10172
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10173 Given those lines, I expected [[Fill in here]] to happen;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10174 but instead, [[Fill in here]] happens!.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10175
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10176 == The code: =="))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10177
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10178 (provide 'verilog-mode)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10179
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10180 ;; Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10181 ;; checkdoc-permit-comma-termination-flag:t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10182 ;; checkdoc-force-docstrings-flag:nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10183 ;; End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10184
79552
23c53aca1e84 Add arch tagline
Miles Bader <miles@gnu.org>
parents: 79551
diff changeset
10185 ;; arch-tag: 87923725-57b3-41b5-9494-be21118c6a6f
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10186 ;;; verilog-mode.el ends here