annotate lisp/progmodes/verilog-mode.el @ 103616:af77bf73dfe0

* verilog-mode.el (verilog-beg-of-statement) (verilog-endcomment-reason-re): Support unique case and priority case. (verilog-basic-complete-re): Support localparam lineup. (verilog-beg-of-statement-1): Fix for robustness, unique case. (verilog-set-auto-endcomments): Fix for unique case, always_comb commenting. (verilog-leap-to-case-head): Now support *nested* unique & priority case statements. (verilog-auto-lineup): Make just declarations the default (as it had been). (verilog-leap-to-case-head): Support priority/unique case statements. (verilog-auto-lineup): Rework to give users radio buttons to select the various styles of automatic lineup (verilog-error-regexp-alist): Rework to support the XEmacs style of error regular expressions from compilers, lint tools & simulators. Note that GNU Emacs has made it impossible for a mode to load such things. (electric-verilog-terminate-line, verilog-indent-declaration) (verilog-auto-wiure): Rework for radio button selection of auto-lineup selection of specification of auto lineup. (verilog-beg-of-statement-1): Redesign to support proper operation in additional code, based on testing with auto-lineup. (verilog-calculate-indent, assignments & declarations) (verilog-backward-token): Enhance to support auto-lineup of assignments & declarations. (verilog-in-directive-p, verilog-at-struct-p): New function for easy test of whether we are. (verilog-pretty-declarations, verilog-pretty-expr): Massive rework to support safe execution at almost anyline. (verilog-calc-1): Properly support indenting deep inside generate blocks. (verilog-init-font) Remove definition & use of verilog-init-font, as it is redundant with font-lock-defaults. (verilog-mode): Alter the definition of verilog-font-lock-defualts to avoid circular calls if syntax-ppss is a function (as is the case now in 22.x GNU Emacs) as that function would sometimes call itself, leading to (nearly) infinite recursion (verilog-ovm-begin-re, verilog-ovm-end-re) (verilog-ovm-statement-re, verilog-leap-to-head) (verilog-backward-token): Add support for OVM macros. Some are complete statements, and others open and close scopes like begin and end. (verilog-defun-level-not-generate-re, verilog-defun-level-re) (verilog-defun-level-generate-only-re): Really fix the defun-list compilation issue (verilog-calc-1) (verilog-beg-of-statement): Enhance support for coverpoint, constraint and cross statements (verilog-defun-level-list, verilog-generate-defun-level-list) (verilog-all-defun-level-list): Redo these specifications - it is too hard to support eval-when compile aggregation of lists also built at when-compile time. (verilog-defun-level-list): Place defconsts of variables used in building regular expressions which are built in eval-when-compile bodies in the same eval-when-compile body to facilitate compile without load. (verilog-beg-block-re-ordered): Support indenting virtual/protected tasks and functions. (verilog-defun-level-list,verilog-in-generate-region-p) (verilog-backward-ws&directives, verilog-calc-1): Speed up indentation of some module items (generate items). (verilog-forward-sexp, verilog-leap-to-head): Support stepping across virtual/protected tasks and functions. * verilog-mode.el (verilog-auto-arg, verilog-auto-arg-sort): Allow sorting AUTOARG lists. Suggested by Andrea Fedeli. (verilog-read-sub-decls-line): Fix AUTOWIRE signals getting lost in concatenations. Reported by Yishay Belkind. (verilog-auto-ascii-enum): Support one-hot state machines in AUTOASCIIENUM. Suggested by Lloyd Gomez. (verilog-auto-inst, verilog-auto-inst-port): Include interface modport in AUTOINST and add vl-modport for users. Reported by David Rogoff. (verilog-auto-inout-module, verilog-auto-inst) (verilog-decls-get-interfaces, verilog-insert-definition) (verilog-insert-one-definition, verilog-read-decls) (verilog-read-sub-decls, verilog-read-sub-decls-sig) (verilog-sig-modport, verilog-signals-combine-bus) (verilog-subdecls-get-interfaces): Fix expansion of SystemVerilog interfaces in AUTOINOUTMODULE, AUTOINOUTCOMP, and AUTOINST. Suggested by David Rogoff. (verilog-repair-open-comma): Fix non-insertion of comma when `DEFINE occurs in V2K argument list. Reported by Lane Brooks. (verilog-make-width-expression): Simplify [A-1:0] expression widths to just {A{1'b0}}. (verilog-mode): Cleanup checkdoc warnings. (verilog-auto-inout-module, verilog-signals-matching-dir-re): Add third optional regexp to AUTOINOUTMODULE to allow selecting only inputs/outputs or data type. Suggested by Vasu Kandadi. (next-error-last-buffer): Fix byte-compiler warning. (verilog-auto, verilog-auto-insert-lisp, verilog-auto-inst) (verilog-delete-auto): Add AUTOINSERTLISP to insert arbitrary lisp or shell command text during AUTO expansion. Suggested by Tad Truex. (verilog-read-sub-decls-expr, verilog-read-sub-decls-line) (verilog-read-sub-decls-sig, verilog-symbol-detick-text): Fix dotted nets {a.b,c.d} and excaped identifiers being mis-included in AUTOINOUT. Reported by Matthew Lovell. (verilog-read-always-signals-recurse): Fix AUTORESET "if (a<=b)" causing use of <= assignments. Reported by Alex Reed. (verilog-read-decls): Fix triand, trior, wand, wor to be recognized by AUTOWIRE. Reported by Spencer Isaacson. (verilog-extended-complete-re): Support import "DPI-C" functions. (verilog-read-always-signals-recurse): Fix AUTORESET of "x <= y[a+1:a+1]" to not include a in reset list. Reported by Dan Dever. (verilog-insert-date, verilog-insert-year) (verilog-sk-header-tmpl): Fix verilog-header inserting error on Windows systems. Reported by Michael Potts. (verilog-read-module-name): Fix AUTOINST when the child module declaration's name is a tick define. Reported by Elliot Mednick. (verilog-read-decls): Fix V2K parameter bit subscripts getting passed to next parameter's definition. Reported by Bruce T. (verilog-read-decls): Fix detecting "parameter int" when using AUTOINSTPARAM. Reported by Bruce T. (verilog-goto-defun): Fix goto not finding modules unless first perform a verilog-auto expansion. Suggested by Lawrence Butcher. (verilog-mode): Expand -f flag arguments on entry to mode so verilog-goto-defun will work. Reported by Lawrence Butcher. (verilog-getopt): Expand environment variables in -f file arguments. Suggested by Lawrence Butcher. (verilog-set-define): Fix "Symbol's value as variable is void" when reading enumerations. (verilog-auto-ascii-enum): Fix duplicate labels in AUTOASCIIENUM. Suggested by Stephen Peltan. (verilog-read-defines): Fix reading of enumerations in include files. Reported by Steve Peltan.
author Dan Nicolaescu <dann@ics.uci.edu>
date Sun, 28 Jun 2009 17:52:45 +0000
parents e2dc5f14229e
children 503d12c87acd
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1 ;; verilog-mode.el --- major mode for editing verilog source in Emacs
79551
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
2
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
3 ;; Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004,
100908
a9dc0e7c3f2b Add 2009 to copyright years.
Glenn Morris <rgm@gnu.org>
parents: 98007
diff changeset
4 ;; 2005, 2006, 2007, 2008, 2009 Free Software Foundation, Inc.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6 ;; Author: Michael McNamara (mac@verilog.com)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7 ;; http://www.verilog.com
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9 ;; AUTO features, signal, modsig; by: Wilson Snyder
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10 ;; (wsnyder@wsnyder.org)
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
11 ;; http://www.veripool.org
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
12 ;; Keywords: languages
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
13
94116
842d446b22d9 Cleanup the bug-report email addresses and make sure the
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 93340
diff changeset
14 ;; Yoni Rabkin <yoni@rabkins.net> contacted the maintainer of this
842d446b22d9 Cleanup the bug-report email addresses and make sure the
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 93340
diff changeset
15 ;; file on 19/3/2008, and the maintainer agreed that when a bug is
842d446b22d9 Cleanup the bug-report email addresses and make sure the
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 93340
diff changeset
16 ;; filed in the Emacs bug reporting system against this file, a copy
842d446b22d9 Cleanup the bug-report email addresses and make sure the
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 93340
diff changeset
17 ;; of the bug report be sent to the maintainer's email address.
842d446b22d9 Cleanup the bug-report email addresses and make sure the
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 93340
diff changeset
18
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
19 ;; This code supports Emacs 21.1 and later
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
20 ;; And XEmacs 21.1 and later
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
21 ;; Please do not make changes that break Emacs 21. Thanks!
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
22 ;;
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
23 ;;
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
24
79551
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
25 ;; This file is part of GNU Emacs.
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
26
94673
52b7a8c22af5 Switch to recommended form of GPLv3 permissions notice.
Glenn Morris <rgm@gnu.org>
parents: 94116
diff changeset
27 ;; GNU Emacs is free software: you can redistribute it and/or modify
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
28 ;; it under the terms of the GNU General Public License as published by
94673
52b7a8c22af5 Switch to recommended form of GPLv3 permissions notice.
Glenn Morris <rgm@gnu.org>
parents: 94116
diff changeset
29 ;; the Free Software Foundation, either version 3 of the License, or
52b7a8c22af5 Switch to recommended form of GPLv3 permissions notice.
Glenn Morris <rgm@gnu.org>
parents: 94116
diff changeset
30 ;; (at your option) any later version.
79551
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
31
ae0b1b4444b5 Fix copyright years.
Glenn Morris <rgm@gnu.org>
parents: 79550
diff changeset
32 ;; GNU Emacs is distributed in the hope that it will be useful,
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
33 ;; but WITHOUT ANY WARRANTY; without even the implied warranty of
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
34 ;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
35 ;; GNU General Public License for more details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
36
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
37 ;; You should have received a copy of the GNU General Public License
94673
52b7a8c22af5 Switch to recommended form of GPLv3 permissions notice.
Glenn Morris <rgm@gnu.org>
parents: 94116
diff changeset
38 ;; along with GNU Emacs. If not, see <http://www.gnu.org/licenses/>.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
39
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
40 ;;; Commentary:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
41
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
42 ;; This mode borrows heavily from the Pascal-mode and the cc-mode of Emacs
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
43
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
44 ;; USAGE
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
45 ;; =====
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
46
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
47 ;; A major mode for editing Verilog HDL source code. When you have
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
48 ;; entered Verilog mode, you may get more info by pressing C-h m. You
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
49 ;; may also get online help describing various functions by: C-h f
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
50 ;; <Name of function you want described>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
51
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
52 ;; KNOWN BUGS / BUG REPORTS
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
53 ;; =======================
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
54
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
55 ;; Verilog is a rapidly evolving language, and hence this mode is
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
56 ;; under continuous development. Hence this is beta code, and likely
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
57 ;; has bugs. Please report any issues to the issue tracker at
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
58 ;; http://www.veripool.org/verilog-mode
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
59 ;; Please use verilog-submit-bug-report to submit a report; type C-c
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
60 ;; C-b to invoke this and as a result I will have a much easier time
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
61 ;; of reproducing the bug you find, and hence fixing it.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
62
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
63 ;; INSTALLING THE MODE
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
64 ;; ===================
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
65
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
66 ;; An older version of this mode may be already installed as a part of
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
67 ;; your environment, and one method of updating would be to update
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
68 ;; your Emacs environment. Sometimes this is difficult for local
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
69 ;; political/control reasons, and hence you can always install a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
70 ;; private copy (or even a shared copy) which overrides the system
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
71 ;; default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
72
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
73 ;; You can get step by step help in installing this file by going to
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
74 ;; <http://www.verilog.com/emacs_install.html>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
75
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
76 ;; The short list of installation instructions are: To set up
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
77 ;; automatic Verilog mode, put this file in your load path, and put
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
78 ;; the following in code (please un comment it first!) in your
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
79 ;; .emacs, or in your site's site-load.el
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
80
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
81 ; (autoload 'verilog-mode "verilog-mode" "Verilog mode" t )
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
82 ; (add-to-list 'auto-mode-alist '("\\.[ds]?v\\'" . verilog-mode))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
83
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
84 ;; If you want to customize Verilog mode to fit your needs better,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
85 ;; you may add these lines (the values of the variables presented
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
86 ;; here are the defaults). Note also that if you use an Emacs that
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
87 ;; supports custom, it's probably better to use the custom menu to
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
88 ;; edit these.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
89 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
90 ;; Be sure to examine at the help for verilog-auto, and the other
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
91 ;; verilog-auto-* functions for some major coding time savers.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
92 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
93 ; ;; User customization for Verilog mode
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
94 ; (setq verilog-indent-level 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
95 ; verilog-indent-level-module 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
96 ; verilog-indent-level-declaration 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
97 ; verilog-indent-level-behavioral 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
98 ; verilog-indent-level-directive 1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
99 ; verilog-case-indent 2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
100 ; verilog-auto-newline t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
101 ; verilog-auto-indent-on-newline t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
102 ; verilog-tab-always-indent t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
103 ; verilog-auto-endcomments t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
104 ; verilog-minimum-comment-distance 40
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
105 ; verilog-indent-begin-after-if t
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
106 ; verilog-auto-lineup 'declarations
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
107 ; verilog-highlight-p1800-keywords nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
108 ; verilog-linter "my_lint_shell_command"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
109 ; )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
110
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
111 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
112
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
113 ;;; History:
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
114 ;;
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
115 ;; See commit history at http://www.veripool.org/verilog-mode.html
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
116 ;; (This section is required to appease checkdoc.)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
117
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
118 ;;; Code:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
119
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
120 ;; This variable will always hold the version number of the mode
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
121 (defconst verilog-mode-version "520"
80143
adbd1e116992 (verilog-mode-version, verilog-mode-release-date, erilog-mode-release-emacs,
Juanma Barranquero <lekktu@gmail.com>
parents: 80141
diff changeset
122 "Version of this Verilog mode.")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
123 (defconst verilog-mode-release-date "2009-06-12-GNU"
80143
adbd1e116992 (verilog-mode-version, verilog-mode-release-date, erilog-mode-release-emacs,
Juanma Barranquero <lekktu@gmail.com>
parents: 80141
diff changeset
124 "Release date of this Verilog mode.")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
125 (defconst verilog-mode-release-emacs t
80143
adbd1e116992 (verilog-mode-version, verilog-mode-release-date, erilog-mode-release-emacs,
Juanma Barranquero <lekktu@gmail.com>
parents: 80141
diff changeset
126 "If non-nil, this version of Verilog mode was released with Emacs itself.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
127
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
128 (defun verilog-version ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
129 "Inform caller of the version of this file."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
130 (interactive)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
131 (message "Using verilog-mode version %s" verilog-mode-version))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
132
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
133 ;; Insure we have certain packages, and deal with it if we don't
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
134 ;; Be sure to note which Emacs flavor and version added each feature.
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
135 (eval-when-compile
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
136 ;; Provide stuff if we are XEmacs
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
137 (when (featurep 'xemacs)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
138 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
139 (require 'easymenu)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
140 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
141 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
142 (require 'regexp-opt)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
143 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
144 ;; Bug in 19.28 through 19.30 skeleton.el, not provided.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
145 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
146 (load "skeleton")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
147 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
148 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
149 (if (fboundp 'when)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
150 nil ;; fab
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
151 (defmacro when (cond &rest body)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
152 (list 'if cond (cons 'progn body))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
153 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
154 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
155 (if (fboundp 'unless)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
156 nil ;; fab
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
157 (defmacro unless (cond &rest body)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
158 (cons 'if (cons cond (cons nil body)))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
159 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
160 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
161 (if (fboundp 'store-match-data)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
162 nil ;; fab
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
163 (defmacro store-match-data (&rest args) nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
164 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
165 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
166 (if (fboundp 'char-before)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
167 nil ;; great
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
168 (defmacro char-before (&rest body)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
169 (char-after (1- (point)))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
170 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
171 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
172 (require 'custom)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
173 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
174 (condition-case nil
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
175 (if (fboundp 'match-string-no-properties)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
176 nil ;; great
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
177 (defsubst match-string-no-properties (num &optional string)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
178 "Return string of text matched by last search, without text properties.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
179 NUM specifies which parenthesized expression in the last regexp.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
180 Value is nil if NUMth pair didn't match, or there were less than NUM pairs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
181 Zero means the entire text matched by the whole regexp or whole string.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
182 STRING should be given if the last search was by `string-match' on STRING."
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
183 (if (match-beginning num)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
184 (if string
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
185 (let ((result
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
186 (substring string
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
187 (match-beginning num) (match-end num))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
188 (set-text-properties 0 (length result) nil result)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
189 result)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
190 (buffer-substring-no-properties (match-beginning num)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
191 (match-end num)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
192 (current-buffer)))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
193 )
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
194 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
195 (if (and (featurep 'custom) (fboundp 'custom-declare-variable))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
196 nil ;; We've got what we needed
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
197 ;; We have the old custom-library, hack around it!
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
198 (defmacro defgroup (&rest args) nil)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
199 (defmacro customize (&rest args)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
200 (message
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
201 "Sorry, Customize is not available with this version of Emacs"))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
202 (defmacro defcustom (var value doc &rest args)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
203 `(defvar ,var ,value ,doc))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
204 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
205 (if (fboundp 'defface)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
206 nil ; great!
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
207 (defmacro defface (var values doc &rest args)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
208 `(make-face ,var))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
209 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
210
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
211 (if (and (featurep 'custom) (fboundp 'customize-group))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
212 nil ;; We've got what we needed
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
213 ;; We have an intermediate custom-library, hack around it!
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
214 (defmacro customize-group (var &rest args)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
215 `(customize ,var))
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
216 ))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
217 ;; OK, do this stuff if we are NOT XEmacs:
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
218 (unless (featurep 'xemacs)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
219 (unless (fboundp 'region-active-p)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
220 (defmacro region-active-p ()
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
221 `(and transient-mark-mode mark-active))))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
222 )
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
223
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
224 ;; Provide a regular expression optimization routine, using regexp-opt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
225 ;; if provided by the user's elisp libraries
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
226 (eval-and-compile
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
227 ;; The below were disabled when GNU Emacs 22 was released;
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
228 ;; perhaps some still need to be there to support Emacs 21.
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
229 (if (featurep 'xemacs)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
230 (if (fboundp 'regexp-opt)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
231 ;; regexp-opt is defined, does it take 3 or 2 arguments?
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
232 (if (fboundp 'function-max-args)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
233 (let ((args (function-max-args `regexp-opt)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
234 (cond
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
235 ((eq args 3) ;; It takes 3
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
236 (condition-case nil ; Hide this defun from emacses
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
237 ;with just a two input regexp
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
238 (defun verilog-regexp-opt (a b)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
239 "Deal with differing number of required arguments for `regexp-opt'.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
240 Call 'regexp-opt' on A and B."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
241 (regexp-opt a b 't))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
242 (error nil))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
243 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
244 ((eq args 2) ;; It takes 2
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
245 (defun verilog-regexp-opt (a b)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
246 "Call 'regexp-opt' on A and B."
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
247 (regexp-opt a b))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
248 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
249 (t nil)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
250 ;; We can't tell; assume it takes 2
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
251 (defun verilog-regexp-opt (a b)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
252 "Call 'regexp-opt' on A and B."
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
253 (regexp-opt a b))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
254 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
255 ;; There is no regexp-opt, provide our own
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
256 (defun verilog-regexp-opt (strings &optional paren shy)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
257 (let ((open (if paren "\\(" "")) (close (if paren "\\)" "")))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
258 (concat open (mapconcat 'regexp-quote strings "\\|") close)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
259 )
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
260 ;; Emacs.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
261 (defalias 'verilog-regexp-opt 'regexp-opt)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
262
79555
52d2889ab3d8 (set-buffer-menubar): Remove unused
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79554
diff changeset
263 (eval-when-compile
52d2889ab3d8 (set-buffer-menubar): Remove unused
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79554
diff changeset
264 (defun verilog-regexp-words (a)
52d2889ab3d8 (set-buffer-menubar): Remove unused
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79554
diff changeset
265 "Call 'regexp-opt' with word delimiters for the words A."
52d2889ab3d8 (set-buffer-menubar): Remove unused
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79554
diff changeset
266 (concat "\\<" (verilog-regexp-opt a t) "\\>")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
267
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
268 (defun verilog-easy-menu-filter (menu)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
269 "Filter `easy-menu-define' MENU to support new features."
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
270 (cond ((not (featurep 'xemacs))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
271 menu) ;; GNU Emacs - passthru
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
272 ;; Xemacs doesn't support :help. Strip it.
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
273 ;; Recursively filter the a submenu
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
274 ((listp menu)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
275 (mapcar 'verilog-easy-menu-filter menu))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
276 ;; Look for [:help "blah"] and remove
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
277 ((vectorp menu)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
278 (let ((i 0) (out []))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
279 (while (< i (length menu))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
280 (if (equal `:help (aref menu i))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
281 (setq i (+ 2 i))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
282 (setq out (vconcat out (vector (aref menu i)))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
283 i (1+ i))))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
284 out))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
285 (t menu))) ;; Default - ok
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
286 ;;(verilog-easy-menu-filter
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
287 ;; `("Verilog" ("MA" ["SAA" nil :help "Help SAA"] ["SAB" nil :help "Help SAA"])
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
288 ;; "----" ["MB" nil :help "Help MB"]))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
289
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
290 (defun verilog-customize ()
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
291 "Customize variables and other settings used by Verilog-Mode."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
292 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
293 (customize-group 'verilog-mode))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
294
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
295 (defun verilog-font-customize ()
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
296 "Customize fonts used by Verilog-Mode."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
297 (interactive)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
298 (if (fboundp 'customize-apropos)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
299 (customize-apropos "font-lock-*" 'faces)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
300
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
301 (defun verilog-booleanp (value)
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
302 "Return t if VALUE is boolean.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
303 This implements GNU Emacs 22.1's `booleanp' function in earlier Emacs.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
304 This function may be removed when Emacs 21 is no longer supported."
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
305 (or (equal value t) (equal value nil)))
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
306
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
307 (defun verilog-insert-last-command-event ()
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
308 "Insert the `last-command-event'."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
309 (insert (if (featurep 'xemacs)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
310 ;; XEmacs 21.5 doesn't like last-command-event
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
311 last-command-char
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
312 ;; And GNU Emacs 22 has obsoleted last-command-char
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
313 last-command-event)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
314
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
315 (defalias 'verilog-syntax-ppss
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
316 (if (fboundp 'syntax-ppss) 'syntax-ppss
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
317 (lambda (&optional pos) (parse-partial-sexp (point-min) (or pos (point))))))
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
318
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
319 (defgroup verilog-mode nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
320 "Facilitates easy editing of Verilog source text."
80261
4af0bb174714 * textmodes/css-mode.el (css-indent-offset, css-electric-keys):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80172
diff changeset
321 :version "22.2"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
322 :group 'languages)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
323
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
324 ; (defgroup verilog-mode-fonts nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
325 ; "Facilitates easy customization fonts used in Verilog source text"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
326 ; :link '(customize-apropos "font-lock-*" 'faces)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
327 ; :group 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
328
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
329 (defgroup verilog-mode-indent nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
330 "Customize indentation and highlighting of Verilog source text."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
331 :group 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
332
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
333 (defgroup verilog-mode-actions nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
334 "Customize actions on Verilog source text."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
335 :group 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
336
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
337 (defgroup verilog-mode-auto nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
338 "Customize AUTO actions when expanding Verilog source text."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
339 :group 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
340
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
341 (defcustom verilog-linter
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
342 "echo 'No verilog-linter set, see \"M-x describe-variable verilog-linter\"'"
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
343 "*Unix program and arguments to call to run a lint checker on Verilog source.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
344 Depending on the `verilog-set-compile-command', this may be invoked when
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
345 you type \\[compile]. When the compile completes, \\[next-error] will take
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
346 you to the next lint error."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
347 :type 'string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
348 :group 'verilog-mode-actions)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
349 ;; We don't mark it safe, as it's used as a shell command
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
350
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
351 (defcustom verilog-coverage
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
352 "echo 'No verilog-coverage set, see \"M-x describe-variable verilog-coverage\"'"
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
353 "*Program and arguments to use to annotate for coverage Verilog source.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
354 Depending on the `verilog-set-compile-command', this may be invoked when
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
355 you type \\[compile]. When the compile completes, \\[next-error] will take
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
356 you to the next lint error."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
357 :type 'string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
358 :group 'verilog-mode-actions)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
359 ;; We don't mark it safe, as it's used as a shell command
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
360
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
361 (defcustom verilog-simulator
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
362 "echo 'No verilog-simulator set, see \"M-x describe-variable verilog-simulator\"'"
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
363 "*Program and arguments to use to interpret Verilog source.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
364 Depending on the `verilog-set-compile-command', this may be invoked when
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
365 you type \\[compile]. When the compile completes, \\[next-error] will take
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
366 you to the next lint error."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
367 :type 'string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
368 :group 'verilog-mode-actions)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
369 ;; We don't mark it safe, as it's used as a shell command
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
370
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
371 (defcustom verilog-compiler
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
372 "echo 'No verilog-compiler set, see \"M-x describe-variable verilog-compiler\"'"
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
373 "*Program and arguments to use to compile Verilog source.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
374 Depending on the `verilog-set-compile-command', this may be invoked when
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
375 you type \\[compile]. When the compile completes, \\[next-error] will take
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
376 you to the next lint error."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
377 :type 'string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
378 :group 'verilog-mode-actions)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
379 ;; We don't mark it safe, as it's used as a shell command
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
380
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
381 (defvar verilog-tool 'verilog-linter
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
382 "Which tool to use for building compiler-command.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
383 Either nil, `verilog-linter, `verilog-coverage, `verilog-simulator, or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
384 `verilog-compiler. Alternatively use the \"Choose Compilation Action\"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
385 menu. See `verilog-set-compile-command' for more information.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
386
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
387 (defcustom verilog-highlight-translate-off nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
388 "*Non-nil means background-highlight code excluded from translation.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
389 That is, all code between \"// synopsys translate_off\" and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
390 \"// synopsys translate_on\" is highlighted using a different background color
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
391 \(face `verilog-font-lock-translate-off-face').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
392
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
393 Note: This will slow down on-the-fly fontification (and thus editing).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
394
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
395 Note: Activate the new setting in a Verilog buffer by re-fontifying it (menu
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
396 entry \"Fontify Buffer\"). XEmacs: turn off and on font locking."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
397 :type 'boolean
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
398 :group 'verilog-mode-indent)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
399 ;; Note we don't use :safe, as that would break on Emacsen before 22.0.
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
400 (put 'verilog-highlight-translate-off 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
401
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
402 (defcustom verilog-auto-lineup 'declarations
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
403 "*Type of statements to lineup across multiple lines.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
404 If 'all' is selected, then all line ups described below are done.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
405
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
406 If 'declaration', then just declarations are lined up with any
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
407 preceding declarations, taking into account widths and the like,
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
408 so or example the code:
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
409 reg [31:0] a;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
410 reg b;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
411 would become
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
412 reg [31:0] a;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
413 reg b;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
414
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
415 If 'assignment', then assignments are lined up with any preceding
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
416 assignments, so for example the code
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
417 a_long_variable <= b + c;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
418 d = e + f;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
419 would become
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
420 a_long_variable <= b + c;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
421 d = e + f;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
422
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
423 In order to speed up editing, large blocks of statements are lined up
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
424 only when a \\[verilog-pretty-expr] is typed; and large blocks of declarations
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
425 are lineup only when \\[verilog-pretty-declarations] is typed."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
426
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
427 :type '(radio (const :tag "Line up Assignments and Declarations" all)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
428 (const :tag "Line up Assignment statements" assignments )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
429 (const :tag "Line up Declarartions" declarations)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
430 (function :tag "Other"))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
431 :group 'verilog-mode-indent )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
432
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
433 (defcustom verilog-indent-level 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
434 "*Indentation of Verilog statements with respect to containing block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
435 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
436 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
437 (put 'verilog-indent-level 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
438
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
439 (defcustom verilog-indent-level-module 3
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
440 "*Indentation of Module level Verilog statements (eg always, initial).
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
441 Set to 0 to get initial and always statements lined up on the left side of
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
442 your screen."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
443 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
444 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
445 (put 'verilog-indent-level-module 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
446
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
447 (defcustom verilog-indent-level-declaration 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
448 "*Indentation of declarations with respect to containing block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
449 Set to 0 to get them list right under containing block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
450 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
451 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
452 (put 'verilog-indent-level-declaration 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
453
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
454 (defcustom verilog-indent-declaration-macros nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
455 "*How to treat macro expansions in a declaration.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
456 If nil, indent as:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
457 input [31:0] a;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
458 input `CP;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
459 output c;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
460 If non nil, treat as:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
461 input [31:0] a;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
462 input `CP ;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
463 output c;"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
464 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
465 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
466 (put 'verilog-indent-declaration-macros 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
467
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
468 (defcustom verilog-indent-lists t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
469 "*How to treat indenting items in a list.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
470 If t (the default), indent as:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
471 always @( posedge a or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
472 reset ) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
473
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
474 If nil, treat as:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
475 always @( posedge a or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
476 reset ) begin"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
477 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
478 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
479 (put 'verilog-indent-lists 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
480
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
481 (defcustom verilog-indent-level-behavioral 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
482 "*Absolute indentation of first begin in a task or function block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
483 Set to 0 to get such code to start at the left side of the screen."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
484 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
485 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
486 (put 'verilog-indent-level-behavioral 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
487
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
488 (defcustom verilog-indent-level-directive 1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
489 "*Indentation to add to each level of `ifdef declarations.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
490 Set to 0 to have all directives start at the left side of the screen."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
491 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
492 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
493 (put 'verilog-indent-level-directive 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
494
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
495 (defcustom verilog-cexp-indent 2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
496 "*Indentation of Verilog statements split across lines."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
497 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
498 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
499 (put 'verilog-cexp-indent 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
500
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
501 (defcustom verilog-case-indent 2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
502 "*Indentation for case statements."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
503 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
504 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
505 (put 'verilog-case-indent 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
506
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
507 (defcustom verilog-auto-newline t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
508 "*True means automatically newline after semicolons."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
509 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
510 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
511 (put 'verilog-auto-newline 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
512
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
513 (defcustom verilog-auto-indent-on-newline t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
514 "*True means automatically indent line after newline."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
515 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
516 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
517 (put 'verilog-auto-indent-on-newline 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
518
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
519 (defcustom verilog-tab-always-indent t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
520 "*True means TAB should always re-indent the current line.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
521 A nil value means TAB will only reindent when at the beginning of the line."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
522 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
523 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
524 (put 'verilog-tab-always-indent 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
525
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
526 (defcustom verilog-tab-to-comment nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
527 "*True means TAB moves to the right hand column in preparation for a comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
528 :group 'verilog-mode-actions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
529 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
530 (put 'verilog-tab-to-comment 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
531
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
532 (defcustom verilog-indent-begin-after-if t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
533 "*If true, indent begin statements following if, else, while, for and repeat.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
534 Otherwise, line them up."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
535 :group 'verilog-mode-indent
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
536 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
537 (put 'verilog-indent-begin-after-if 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
538
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
539
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
540 (defcustom verilog-align-ifelse nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
541 "*If true, align `else' under matching `if'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
542 Otherwise else is lined up with first character on line holding matching if."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
543 :group 'verilog-mode-indent
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
544 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
545 (put 'verilog-align-ifelse 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
546
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
547 (defcustom verilog-minimum-comment-distance 10
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
548 "*Minimum distance (in lines) between begin and end required before a comment.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
549 Setting this variable to zero results in every end acquiring a comment; the
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
550 default avoids too many redundant comments in tight quarters."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
551 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
552 :type 'integer)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
553 (put 'verilog-minimum-comment-distance 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
554
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
555 (defcustom verilog-highlight-p1800-keywords nil
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
556 "*True means highlight words newly reserved by IEEE-1800.
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
557 These will appear in `verilog-font-lock-p1800-face' in order to gently
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
558 suggest changing where these words are used as variables to something else.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
559 A nil value means highlight these words as appropriate for the SystemVerilog
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
560 IEEE-1800 standard. Note that changing this will require restarting Emacs
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
561 to see the effect as font color choices are cached by Emacs."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
562 :group 'verilog-mode-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
563 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
564 (put 'verilog-highlight-p1800-keywords 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
565
80267
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
566 (defcustom verilog-highlight-grouping-keywords nil
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
567 "*True means highlight grouping keywords 'begin' and 'end' more dramatically.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
568 If false, these words are in the `font-lock-type-face'; if True then they are in
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
569 `verilog-font-lock-ams-face'. Some find that special highlighting on these
80267
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
570 grouping constructs allow the structure of the code to be understood at a glance."
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
571 :group 'verilog-mode-indent
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
572 :type 'boolean)
80270
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
573 (put 'verilog-highlight-grouping-keywords 'safe-local-variable 'verilog-booleanp)
80267
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
574
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
575 (defcustom verilog-auto-endcomments t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
576 "*True means insert a comment /* ... */ after 'end's.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
577 The name of the function or case will be set between the braces."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
578 :group 'verilog-mode-actions
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
579 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
580 (put 'verilog-auto-endcomments 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
581
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
582 (defcustom verilog-auto-read-includes nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
583 "*True means to automatically read includes before AUTOs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
584 This will do a `verilog-read-defines' and `verilog-read-includes' before
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
585 each AUTO expansion. This makes it easier to embed defines and includes,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
586 but can result in very slow reading times if there are many or large
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
587 include files."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
588 :group 'verilog-mode-actions
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
589 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
590 (put 'verilog-auto-read-includes 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
591
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
592 (defcustom verilog-auto-save-policy nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
593 "*Non-nil indicates action to take when saving a Verilog buffer with AUTOs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
594 A value of `force' will always do a \\[verilog-auto] automatically if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
595 needed on every save. A value of `detect' will do \\[verilog-auto]
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
596 automatically when it thinks necessary. A value of `ask' will query the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
597 user when it thinks updating is needed.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
598
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
599 You should not rely on the 'ask or 'detect policies, they are safeguards
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
600 only. They do not detect when AUTOINSTs need to be updated because a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
601 sub-module's port list has changed."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
602 :group 'verilog-mode-actions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
603 :type '(choice (const nil) (const ask) (const detect) (const force)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
604
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
605 (defcustom verilog-auto-star-expand t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
606 "*Non-nil indicates to expand a SystemVerilog .* instance ports.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
607 They will be expanded in the same way as if there was a AUTOINST in the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
608 instantiation. See also `verilog-auto-star' and `verilog-auto-star-save'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
609 :group 'verilog-mode-actions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
610 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
611 (put 'verilog-auto-star-expand 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
612
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
613 (defcustom verilog-auto-star-save nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
614 "*Non-nil indicates to save to disk SystemVerilog .* instance expansions.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
615 A nil value indicates direct connections will be removed before saving.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
616 Only meaningful to those created due to `verilog-auto-star-expand' being set.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
617
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
618 Instead of setting this, you may want to use /*AUTOINST*/, which will
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
619 always be saved."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
620 :group 'verilog-mode-actions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
621 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
622 (put 'verilog-auto-star-save 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
623
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
624 (defvar verilog-auto-update-tick nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
625 "Modification tick at which autos were last performed.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
626
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
627 (defvar verilog-auto-last-file-locals nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
628 "Text from file-local-variables during last evaluation.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
629
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
630 (defvar verilog-error-regexp-add-didit nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
631 (defvar verilog-error-regexp nil)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
632 ;;; Compile support
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
633 (require 'compile)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
634 (make-variable-buffer-local 'compilation-error-regexp-systems-list)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
635 (defvar compilation-error-regexp-alist) ; in case not
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
636 (make-variable-buffer-local 'compilation-error-regexp-alist)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
637
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
638 ; List of regexps for Verilog compilers, like verilint. See compilation-error-regexp-alist
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
639 ; for the formatting.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
640 (defvar verilog-error-regexp-alist
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
641 '(verilog
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
642 ; SureLint
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
643 ("[^\n]*\\[\\([^:]+\\):\\([0-9]+\\)\\]" 1 2)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
644 ("\\(WARNING\\|ERROR\\|INFO\\)[^:]*: \\([^,]+\\), \\(line \\|\\)\\([0-9]+\\):" 2 4 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
645 ("\
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
646 \\([a-zA-Z]?:?[^:( \t\n]+\\)[:(][ \t]*\\([0-9]+\\)\\([) \t]\\|\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
647 :\\([^0-9\n]\\|\\([0-9]+:\\)\\)\\)" 1 2 5)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
648 ; xsim
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
649 ; Error! in file /homes/mac/Axis/Xsim/test.v at line 13 [OBJ_NOT_DECLARED]
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
650 ("\\(Error\\|Warning\\).*in file (\\([^ \t]+\\) at line *\\([0-9]+\\))" 2 3)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
651 ; vcs
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
652 ("\\(Error\\|Warning\\):[^(]*(\\([^ \t]+\\) line *\\([0-9]+\\))" 2 3)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
653 ("Warning:.*(port.*(\\([^ \t]+\\) line \\([0-9]+\\))" 1 2)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
654 ("\\(Error\\|Warning\\):[\n.]*\\([^ \t]+\\) *\\([0-9]+\\):" 2 3)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
655 ("syntax error:.*\n\\([^ \t]+\\) *\\([0-9]+\\):" 1 2)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
656 ; Verilator
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
657 ("%?\\(Error\\|Warning\\)\\(-[^:]+\\|\\):[\n ]*\\([^ \t:]+\\):\\([0-9]+\\):" 3 4)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
658 ("%?\\(Error\\|Warning\\)\\(-[^:]+\\|\\):[\n ]*\\([^ \t:]+\\):\\([0-9]+\\):" 3 4)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
659 ; verilog-xl
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
660 ("\\(Error\\|Warning\\)!.*\n?.*\"\\([^\"]+\\)\", \\([0-9]+\\)" 2 3)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
661 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+\\([0-9]+\\):.*$" 1 2) ; vxl
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
662 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+line[ \t]+\\([0-9]+\\):.*$" 1 2)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
663 ; nc-verilog
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
664 (".*\\*[WE],[0-9A-Z]+ (\\([^ \t,]+\\),\\([0-9]+\\)|" 1 2)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
665 ; Leda
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
666 ("In file \\([^ \t]+\\)[ \t]+line[ \t]+\\([0-9]+\\):\n[^\n]*\n[^\n]*\n\\[\\(Warning\\|Error\\|Failure\\)\\][^\n]*" 1 2)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
667 ))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
668
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
669 (defvar verilog-error-font-lock-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
670 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
671 ("[^\n]*\\[\\([^:]+\\):\\([0-9]+\\)\\]" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
672 ("[^\n]*\\[\\([^:]+\\):\\([0-9]+\\)\\]" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
673
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
674 ("\\(WARNING\\|ERROR\\|INFO\\): \\([^,]+\\), line \\([0-9]+\\):" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
675 ("\\(WARNING\\|ERROR\\|INFO\\): \\([^,]+\\), line \\([0-9]+\\):" 3 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
676
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
677 ("\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
678 \\([a-zA-Z]?:?[^:( \t\n]+\\)[:(][ \t]*\\([0-9]+\\)\\([) \t]\\|\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
679 :\\([^0-9\n]\\|\\([0-9]+:\\)\\)\\)" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
680 ("\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
681 \\([a-zA-Z]?:?[^:( \t\n]+\\)[:(][ \t]*\\([0-9]+\\)\\([) \t]\\|\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
682 :\\([^0-9\n]\\|\\([0-9]+:\\)\\)\\)" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
683
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
684 ("\\(Error\\|Warning\\):[^(]*(\\([^ \t]+\\) line *\\([0-9]+\\))" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
685 ("\\(Error\\|Warning\\):[^(]*(\\([^ \t]+\\) line *\\([0-9]+\\))" 3 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
686
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
687 ("%?\\(Error\\|Warning\\)\\(-[^:]+\\|\\):[\n ]*\\([^ \t:]+\\):\\([0-9]+\\):" 3 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
688 ("%?\\(Error\\|Warning\\)\\(-[^:]+\\|\\):[\n ]*\\([^ \t:]+\\):\\([0-9]+\\):" 4 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
689
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
690 ("Warning:.*(port.*(\\([^ \t]+\\) line \\([0-9]+\\))" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
691 ("Warning:.*(port.*(\\([^ \t]+\\) line \\([0-9]+\\))" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
692
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
693 ("\\(Error\\|Warning\\):[\n.]*\\([^ \t]+\\) *\\([0-9]+\\):" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
694 ("\\(Error\\|Warning\\):[\n.]*\\([^ \t]+\\) *\\([0-9]+\\):" 3 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
695
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
696 ("syntax error:.*\n\\([^ \t]+\\) *\\([0-9]+\\):" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
697 ("syntax error:.*\n\\([^ \t]+\\) *\\([0-9]+\\):" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
698 ; vxl
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
699 ("\\(Error\\|Warning\\)!.*\n?.*\"\\([^\"]+\\)\", \\([0-9]+\\)" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
700 ("\\(Error\\|Warning\\)!.*\n?.*\"\\([^\"]+\\)\", \\([0-9]+\\)" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
701
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
702 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+\\([0-9]+\\):.*$" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
703 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+\\([0-9]+\\):.*$" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
704
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
705 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+line[ \t]+\\([0-9]+\\):.*$" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
706 ("([WE][0-9A-Z]+)[ \t]+\\([^ \t\n,]+\\)[, \t]+line[ \t]+\\([0-9]+\\):.*$" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
707 ; nc-verilog
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
708 (".*[WE],[0-9A-Z]+ (\\([^ \t,]+\\),\\([0-9]+\\)|" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
709 (".*[WE],[0-9A-Z]+ (\\([^ \t,]+\\),\\([0-9]+\\)|" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
710 ; Leda
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
711 ("In file \\([^ \t]+\\)[ \t]+line[ \t]+\\([0-9]+\\):\n[^\n]*\n[^\n]*\n\\[\\(Warning\\|Error\\|Failure\\)\\][^\n]*" 1 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
712 ("In file \\([^ \t]+\\)[ \t]+line[ \t]+\\([0-9]+\\):\n[^\n]*\n[^\n]*\n\\[\\(Warning\\|Error\\|Failure\\)\\][^\n]*" 2 bold t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
713 )
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
714 "*Keywords to also highlight in Verilog *compilation* buffers.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
715
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
716 (defcustom verilog-library-flags '("")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
717 "*List of standard Verilog arguments to use for /*AUTOINST*/.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
718 These arguments are used to find files for `verilog-auto', and match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
719 the flags accepted by a standard Verilog-XL simulator.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
720
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
721 -f filename Reads more `verilog-library-flags' from the filename.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
722 +incdir+dir Adds the directory to `verilog-library-directories'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
723 -Idir Adds the directory to `verilog-library-directories'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
724 -y dir Adds the directory to `verilog-library-directories'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
725 +libext+.v Adds the extensions to `verilog-library-extensions'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
726 -v filename Adds the filename to `verilog-library-files'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
727
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
728 filename Adds the filename to `verilog-library-files'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
729 This is not recommended, -v is a better choice.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
730
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
731 You might want these defined in each file; put at the *END* of your file
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
732 something like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
733
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
734 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
735 // verilog-library-flags:(\"-y dir -y otherdir\")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
736 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
737
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
738 Verilog-mode attempts to detect changes to this local variable, but they
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
739 are only insured to be correct when the file is first visited. Thus if you
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
740 have problems, use \\[find-alternate-file] RET to have these take effect.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
741
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
742 See also the variables mentioned above."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
743 :group 'verilog-mode-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
744 :type '(repeat string))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
745 (put 'verilog-library-flags 'safe-local-variable 'listp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
746
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
747 (defcustom verilog-library-directories '(".")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
748 "*List of directories when looking for files for /*AUTOINST*/.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
749 The directory may be relative to the current file, or absolute.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
750 Environment variables are also expanded in the directory names.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
751 Having at least the current directory is a good idea.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
752
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
753 You might want these defined in each file; put at the *END* of your file
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
754 something like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
755
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
756 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
757 // verilog-library-directories:(\".\" \"subdir\" \"subdir2\")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
758 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
759
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
760 Verilog-mode attempts to detect changes to this local variable, but they
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
761 are only insured to be correct when the file is first visited. Thus if you
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
762 have problems, use \\[find-alternate-file] RET to have these take effect.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
763
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
764 See also `verilog-library-flags', `verilog-library-files'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
765 and `verilog-library-extensions'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
766 :group 'verilog-mode-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
767 :type '(repeat file))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
768 (put 'verilog-library-directories 'safe-local-variable 'listp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
769
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
770 (defcustom verilog-library-files '()
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
771 "*List of files to search for modules.
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
772 AUTOINST will use this when it needs to resolve a module name.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
773 This is a complete path, usually to a technology file with many standard
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
774 cells defined in it.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
775
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
776 You might want these defined in each file; put at the *END* of your file
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
777 something like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
778
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
779 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
780 // verilog-library-files:(\"/some/path/technology.v\" \"/some/path/tech2.v\")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
781 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
782
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
783 Verilog-mode attempts to detect changes to this local variable, but they
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
784 are only insured to be correct when the file is first visited. Thus if you
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
785 have problems, use \\[find-alternate-file] RET to have these take effect.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
786
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
787 See also `verilog-library-flags', `verilog-library-directories'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
788 :group 'verilog-mode-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
789 :type '(repeat directory))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
790 (put 'verilog-library-files 'safe-local-variable 'listp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
791
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
792 (defcustom verilog-library-extensions '(".v" ".sv")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
793 "*List of extensions to use when looking for files for /*AUTOINST*/.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
794 See also `verilog-library-flags', `verilog-library-directories'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
795 :type '(repeat string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
796 :group 'verilog-mode-auto)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
797 (put 'verilog-library-extensions 'safe-local-variable 'listp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
798
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
799 (defcustom verilog-active-low-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
800 "*If set, treat signals matching this regexp as active low.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
801 This is used for AUTORESET and AUTOTIEOFF. For proper behavior,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
802 you will probably also need `verilog-auto-reset-widths' set."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
803 :group 'verilog-mode-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
804 :type 'string)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
805 (put 'verilog-active-low-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
806
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
807 (defcustom verilog-auto-sense-include-inputs nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
808 "*If true, AUTOSENSE should include all inputs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
809 If nil, only inputs that are NOT output signals in the same block are
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
810 included."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
811 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
812 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
813 (put 'verilog-auto-sense-include-inputs 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
814
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
815 (defcustom verilog-auto-sense-defines-constant nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
816 "*If true, AUTOSENSE should assume all defines represent constants.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
817 When true, the defines will not be included in sensitivity lists. To
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
818 maintain compatibility with other sites, this should be set at the bottom
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
819 of each Verilog file that requires it, rather than being set globally."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
820 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
821 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
822 (put 'verilog-auto-sense-defines-constant 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
823
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
824 (defcustom verilog-auto-reset-widths t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
825 "*If true, AUTORESET should determine the width of signals.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
826 This is then used to set the width of the zero (32'h0 for example). This
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
827 is required by some lint tools that aren't smart enough to ignore widths of
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
828 the constant zero. This may result in ugly code when parameters determine
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
829 the MSB or LSB of a signal inside an AUTORESET."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
830 :type 'boolean
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
831 :group 'verilog-mode-auto)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
832 (put 'verilog-auto-reset-widths 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
833
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
834 (defcustom verilog-assignment-delay ""
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
835 "*Text used for delays in delayed assignments. Add a trailing space if set."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
836 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
837 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
838 (put 'verilog-assignment-delay 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
839
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
840 (defcustom verilog-auto-arg-sort nil
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
841 "*If set, AUTOARG will sort signal names, rather than leave them in
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
842 declaration order. Declaration order is advantageous with order based
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
843 instantiations and is the default for backward compatibility. Sorted order
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
844 reduces changes when declarations are moved around in a file, and it's bad
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
845 practice to rely on order based instantiations anyhow."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
846 :group 'verilog-mode-auto
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
847 :type 'boolean)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
848 (put 'verilog-auto-arg-sort 'safe-local-variable 'verilog-booleanp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
849
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
850 (defcustom verilog-auto-inst-param-value nil
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
851 "*If set, AUTOINST will replace parameters with the parameter value.
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
852 If nil, leave parameters as symbolic names.
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
853
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
854 Parameters must be in Verilog 2001 format #(...), and if a parameter is not
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
855 listed as such there (as when the default value is acceptable), it will not
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
856 be replaced, and will remain symbolic.
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
857
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
858 For example, imagine a submodule uses parameters to declare the size of its
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
859 inputs. This is then used by a upper module:
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
860
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
861 module InstModule (o,i)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
862 parameter WIDTH;
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
863 input [WIDTH-1:0] i;
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
864 endmodule
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
865
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
866 module ExampInst;
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
867 InstModule
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
868 #(PARAM(10))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
869 instName
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
870 (/*AUTOINST*/
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
871 .i (i[PARAM-1:0]));
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
872
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
873 Note even though PARAM=10, the AUTOINST has left the parameter as a
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
874 symbolic name. If `verilog-auto-inst-param-value' is set, this will
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
875 instead expand to:
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
876
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
877 module ExampInst;
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
878 InstModule
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
879 #(PARAM(10))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
880 instName
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
881 (/*AUTOINST*/
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
882 .i (i[9:0]));"
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
883 :group 'verilog-mode-auto
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
884 :type 'boolean)
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
885 (put 'verilog-auto-inst-param-value 'safe-local-variable 'verilog-booleanp)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
886
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
887 (defcustom verilog-auto-inst-vector t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
888 "*If true, when creating default ports with AUTOINST, use bus subscripts.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
889 If nil, skip the subscript when it matches the entire bus as declared in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
890 the module (AUTOWIRE signals always are subscripted, you must manually
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
891 declare the wire to have the subscripts removed.) Setting this to nil may
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
892 speed up some simulators, but is less general and harder to read, so avoid."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
893 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
894 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
895 (put 'verilog-auto-inst-vector 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
896
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
897 (defcustom verilog-auto-inst-template-numbers nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
898 "*If true, when creating templated ports with AUTOINST, add a comment.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
899 The comment will add the line number of the template that was used for that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
900 port declaration. Setting this aids in debugging, but nil is suggested for
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
901 regular use to prevent large numbers of merge conflicts."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
902 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
903 :type 'boolean)
79801
1fc1252447c5 * progmodes/verilog-mode.el (verilog-booleanp): New function for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79799
diff changeset
904 (put 'verilog-auto-inst-template-numbers 'safe-local-variable 'verilog-booleanp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
905
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
906 (defcustom verilog-auto-inst-column 40
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
907 "*Indent-to column number for net name part of AUTOINST created pin."
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
908 :group 'verilog-mode-indent
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
909 :type 'integer)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
910 (put 'verilog-auto-inst-column 'safe-local-variable 'integerp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
911
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
912 (defcustom verilog-auto-input-ignore-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
913 "*If set, when creating AUTOINPUT list, ignore signals matching this regexp.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
914 See the \\[verilog-faq] for examples on using this."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
915 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
916 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
917 (put 'verilog-auto-input-ignore-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
918
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
919 (defcustom verilog-auto-inout-ignore-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
920 "*If set, when creating AUTOINOUT list, ignore signals matching this regexp.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
921 See the \\[verilog-faq] for examples on using this."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
922 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
923 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
924 (put 'verilog-auto-inout-ignore-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
925
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
926 (defcustom verilog-auto-output-ignore-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
927 "*If set, when creating AUTOOUTPUT list, ignore signals matching this regexp.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
928 See the \\[verilog-faq] for examples on using this."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
929 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
930 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
931 (put 'verilog-auto-output-ignore-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
932
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
933 (defcustom verilog-auto-unused-ignore-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
934 "*If set, when creating AUTOUNUSED list, ignore signals matching this regexp.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
935 See the \\[verilog-faq] for examples on using this."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
936 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
937 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
938 (put 'verilog-auto-unused-ignore-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
939
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
940 (defcustom verilog-typedef-regexp nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
941 "*If non-nil, regular expression that matches Verilog-2001 typedef names.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
942 For example, \"_t$\" matches typedefs named with _t, as in the C language."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
943 :group 'verilog-mode-auto
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
944 :type 'string)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
945 (put 'verilog-typedef-regexp 'safe-local-variable 'stringp)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
946
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
947 (defcustom verilog-mode-hook 'verilog-set-compile-command
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
948 "*Hook run after Verilog mode is loaded."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
949 :type 'hook
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
950 :group 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
951
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
952 (defcustom verilog-auto-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
953 "*Hook run after `verilog-mode' updates AUTOs."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
954 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
955 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
956
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
957 (defcustom verilog-before-auto-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
958 "*Hook run before `verilog-mode' updates AUTOs."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
959 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
960 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
961
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
962 (defcustom verilog-delete-auto-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
963 "*Hook run after `verilog-mode' deletes AUTOs."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
964 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
965 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
966
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
967 (defcustom verilog-before-delete-auto-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
968 "*Hook run before `verilog-mode' deletes AUTOs."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
969 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
970 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
971
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
972 (defcustom verilog-getopt-flags-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
973 "*Hook run after `verilog-getopt-flags' determines the Verilog option lists."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
974 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
975 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
976
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
977 (defcustom verilog-before-getopt-flags-hook nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
978 "*Hook run before `verilog-getopt-flags' determines the Verilog option lists."
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
979 :group 'verilog-mode-auto
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
980 :type 'hook)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
981
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
982 (defvar verilog-imenu-generic-expression
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
983 '((nil "^\\s-*\\(\\(m\\(odule\\|acromodule\\)\\)\\|primitive\\)\\s-+\\([a-zA-Z0-9_.:]+\\)" 4)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
984 ("*Vars*" "^\\s-*\\(reg\\|wire\\)\\s-+\\(\\|\\[[^]]+\\]\\s-+\\)\\([A-Za-z0-9_]+\\)" 3))
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
985 "Imenu expression for Verilog mode. See `imenu-generic-expression'.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
986
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
987 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
988 ;; provide a verilog-header function.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
989 ;; Customization variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
990 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
991 (defvar verilog-date-scientific-format nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
992 "*If non-nil, dates are written in scientific format (e.g. 1997/09/17).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
993 If nil, in European format (e.g. 17.09.1997). The brain-dead American
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
994 format (e.g. 09/17/1997) is not supported.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
995
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
996 (defvar verilog-company nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
997 "*Default name of Company for Verilog header.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
998 If set will become buffer local.")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
999 (make-variable-buffer-local 'verilog-company)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1000
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1001 (defvar verilog-project nil
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
1002 "*Default name of Project for Verilog header.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1003 If set will become buffer local.")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1004 (make-variable-buffer-local 'verilog-project)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1005
79549
d9595ed9b084 * progmodes/verilog-mode.el (verilog-mode-map): Fix typo.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79547
diff changeset
1006 (defvar verilog-mode-map
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1007 (let ((map (make-sparse-keymap)))
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1008 (define-key map ";" 'electric-verilog-semi)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1009 (define-key map [(control 59)] 'electric-verilog-semi-with-comment)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1010 (define-key map ":" 'electric-verilog-colon)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1011 ;;(define-key map "=" 'electric-verilog-equal)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1012 (define-key map "\`" 'electric-verilog-tick)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1013 (define-key map "\t" 'electric-verilog-tab)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1014 (define-key map "\r" 'electric-verilog-terminate-line)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1015 ;; backspace/delete key bindings
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1016 (define-key map [backspace] 'backward-delete-char-untabify)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1017 (unless (boundp 'delete-key-deletes-forward) ; XEmacs variable
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1018 (define-key map [delete] 'delete-char)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1019 (define-key map [(meta delete)] 'kill-word))
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1020 (define-key map "\M-\C-b" 'electric-verilog-backward-sexp)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1021 (define-key map "\M-\C-f" 'electric-verilog-forward-sexp)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1022 (define-key map "\M-\r" `electric-verilog-terminate-and-indent)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1023 (define-key map "\M-\t" 'verilog-complete-word)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1024 (define-key map "\M-?" 'verilog-show-completions)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1025 (define-key map "\C-c\`" 'verilog-lint-off)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1026 (define-key map "\C-c\*" 'verilog-delete-auto-star-implicit)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1027 (define-key map "\C-c\C-r" 'verilog-label-be)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1028 (define-key map "\C-c\C-i" 'verilog-pretty-declarations)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1029 (define-key map "\C-c=" 'verilog-pretty-expr)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1030 (define-key map "\C-c\C-b" 'verilog-submit-bug-report)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1031 (define-key map "\M-*" 'verilog-star-comment)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1032 (define-key map "\C-c\C-c" 'verilog-comment-region)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1033 (define-key map "\C-c\C-u" 'verilog-uncomment-region)
79810
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1034 (when (featurep 'xemacs)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1035 (define-key map [(meta control h)] 'verilog-mark-defun)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1036 (define-key map "\M-\C-a" 'verilog-beg-of-defun)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
1037 (define-key map "\M-\C-e" 'verilog-end-of-defun))
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1038 (define-key map "\C-c\C-d" 'verilog-goto-defun)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1039 (define-key map "\C-c\C-k" 'verilog-delete-auto)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1040 (define-key map "\C-c\C-a" 'verilog-auto)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1041 (define-key map "\C-c\C-s" 'verilog-auto-save-compile)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1042 (define-key map "\C-c\C-z" 'verilog-inject-auto)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1043 (define-key map "\C-c\C-e" 'verilog-expand-vector)
79550
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
1044 (define-key map "\C-c\C-h" 'verilog-header)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
1045 map)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1046 "Keymap used in Verilog mode.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1047
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1048 ;; menus
80172
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
1049 (easy-menu-define
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
1050 verilog-menu verilog-mode-map "Menu for Verilog mode"
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1051 (verilog-easy-menu-filter
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1052 '("Verilog"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1053 ("Choose Compilation Action"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1054 ["None"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1055 (progn
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1056 (setq verilog-tool nil)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1057 (verilog-set-compile-command))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1058 :style radio
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1059 :selected (equal verilog-tool nil)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1060 :help "When invoking compilation, use compile-command"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1061 ["Lint"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1062 (progn
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1063 (setq verilog-tool 'verilog-linter)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1064 (verilog-set-compile-command))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1065 :style radio
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1066 :selected (equal verilog-tool `verilog-linter)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1067 :help "When invoking compilation, use lint checker"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1068 ["Coverage"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1069 (progn
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1070 (setq verilog-tool 'verilog-coverage)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1071 (verilog-set-compile-command))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1072 :style radio
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1073 :selected (equal verilog-tool `verilog-coverage)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1074 :help "When invoking compilation, annotate for coverage"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1075 ["Simulator"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1076 (progn
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1077 (setq verilog-tool 'verilog-simulator)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1078 (verilog-set-compile-command))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1079 :style radio
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1080 :selected (equal verilog-tool `verilog-simulator)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1081 :help "When invoking compilation, interpret Verilog source"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1082 ["Compiler"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1083 (progn
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1084 (setq verilog-tool 'verilog-compiler)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1085 (verilog-set-compile-command))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1086 :style radio
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1087 :selected (equal verilog-tool `verilog-compiler)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1088 :help "When invoking compilation, compile Verilog source"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1089 )
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1090 ("Move"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1091 ["Beginning of function" verilog-beg-of-defun
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1092 :keys "C-M-a"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1093 :help "Move backward to the beginning of the current function or procedure"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1094 ["End of function" verilog-end-of-defun
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1095 :keys "C-M-e"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1096 :help "Move forward to the end of the current function or procedure"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1097 ["Mark function" verilog-mark-defun
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1098 :keys "C-M-h"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1099 :help "Mark the current Verilog function or procedure"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1100 ["Goto function/module" verilog-goto-defun
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1101 :help "Move to specified Verilog module/task/function"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1102 ["Move to beginning of block" electric-verilog-backward-sexp
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1103 :help "Move backward over one balanced expression"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1104 ["Move to end of block" electric-verilog-forward-sexp
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1105 :help "Move forward over one balanced expression"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1106 )
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1107 ("Comments"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1108 ["Comment Region" verilog-comment-region
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1109 :help "Put marked area into a comment"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1110 ["UnComment Region" verilog-uncomment-region
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1111 :help "Uncomment an area commented with Comment Region"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1112 ["Multi-line comment insert" verilog-star-comment
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1113 :help "Insert Verilog /* */ comment at point"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1114 ["Lint error to comment" verilog-lint-off
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1115 :help "Convert a Verilog linter warning line into a disable statement"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1116 )
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1117 "----"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1118 ["Compile" compile
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1119 :help "Perform compilation-action (above) on the current buffer"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1120 ["AUTO, Save, Compile" verilog-auto-save-compile
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1121 :help "Recompute AUTOs, save buffer, and compile"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1122 ["Next Compile Error" next-error
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1123 :help "Visit next compilation error message and corresponding source code"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1124 ["Ignore Lint Warning at point" verilog-lint-off
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1125 :help "Convert a Verilog linter warning line into a disable statement"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1126 "----"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1127 ["Line up declarations around point" verilog-pretty-declarations
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1128 :help "Line up declarations around point"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1129 ["Line up equations around point" verilog-pretty-expr
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1130 :help "Line up expressions around point"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1131 ["Redo/insert comments on every end" verilog-label-be
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1132 :help "Label matching begin ... end statements"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1133 ["Expand [x:y] vector line" verilog-expand-vector
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1134 :help "Take a signal vector on the current line and expand it to multiple lines"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1135 ["Insert begin-end block" verilog-insert-block
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1136 :help "Insert begin ... end"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1137 ["Complete word" verilog-complete-word
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1138 :help "Complete word at point"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1139 "----"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1140 ["Recompute AUTOs" verilog-auto
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1141 :help "Expand AUTO meta-comment statements"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1142 ["Kill AUTOs" verilog-delete-auto
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1143 :help "Remove AUTO expansions"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1144 ["Inject AUTOs" verilog-inject-auto
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1145 :help "Inject AUTOs into legacy non-AUTO buffer"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1146 ("AUTO Help..."
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1147 ["AUTO General" (describe-function 'verilog-auto)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1148 :help "Help introduction on AUTOs"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1149 ["AUTO Library Flags" (describe-variable 'verilog-library-flags)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1150 :help "Help on verilog-library-flags"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1151 ["AUTO Library Path" (describe-variable 'verilog-library-directories)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1152 :help "Help on verilog-library-directories"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1153 ["AUTO Library Files" (describe-variable 'verilog-library-files)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1154 :help "Help on verilog-library-files"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1155 ["AUTO Library Extensions" (describe-variable 'verilog-library-extensions)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1156 :help "Help on verilog-library-extensions"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1157 ["AUTO `define Reading" (describe-function 'verilog-read-defines)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1158 :help "Help on reading `defines"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1159 ["AUTO `include Reading" (describe-function 'verilog-read-includes)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1160 :help "Help on parsing `includes"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1161 ["AUTOARG" (describe-function 'verilog-auto-arg)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1162 :help "Help on AUTOARG - declaring module port list"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1163 ["AUTOASCIIENUM" (describe-function 'verilog-auto-ascii-enum)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1164 :help "Help on AUTOASCIIENUM - creating ASCII for enumerations"]
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1165 ["AUTOINOUTCOMP" (describe-function 'verilog-auto-inout-complement)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1166 :help "Help on AUTOINOUTCOMP - copying complemented i/o from another file"]
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1167 ["AUTOINOUTMODULE" (describe-function 'verilog-auto-inout-module)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1168 :help "Help on AUTOINOUTMODULE - copying i/o from another file"]
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1169 ["AUTOINSERTLISP" (describe-function 'verilog-auto-insert-lisp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1170 :help "Help on AUTOINSERTLISP - insert text from a lisp function"]
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1171 ["AUTOINOUT" (describe-function 'verilog-auto-inout)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1172 :help "Help on AUTOINOUT - adding inouts from cells"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1173 ["AUTOINPUT" (describe-function 'verilog-auto-input)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1174 :help "Help on AUTOINPUT - adding inputs from cells"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1175 ["AUTOINST" (describe-function 'verilog-auto-inst)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1176 :help "Help on AUTOINST - adding pins for cells"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1177 ["AUTOINST (.*)" (describe-function 'verilog-auto-star)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1178 :help "Help on expanding Verilog-2001 .* pins"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1179 ["AUTOINSTPARAM" (describe-function 'verilog-auto-inst-param)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1180 :help "Help on AUTOINSTPARAM - adding parameter pins to cells"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1181 ["AUTOOUTPUT" (describe-function 'verilog-auto-output)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1182 :help "Help on AUTOOUTPUT - adding outputs from cells"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1183 ["AUTOOUTPUTEVERY" (describe-function 'verilog-auto-output-every)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1184 :help "Help on AUTOOUTPUTEVERY - adding outputs of all signals"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1185 ["AUTOREG" (describe-function 'verilog-auto-reg)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1186 :help "Help on AUTOREG - declaring registers for non-wires"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1187 ["AUTOREGINPUT" (describe-function 'verilog-auto-reg-input)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1188 :help "Help on AUTOREGINPUT - declaring inputs for non-wires"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1189 ["AUTORESET" (describe-function 'verilog-auto-reset)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1190 :help "Help on AUTORESET - resetting always blocks"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1191 ["AUTOSENSE" (describe-function 'verilog-auto-sense)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1192 :help "Help on AUTOSENSE - sensitivity lists for always blocks"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1193 ["AUTOTIEOFF" (describe-function 'verilog-auto-tieoff)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1194 :help "Help on AUTOTIEOFF - tieing off unused outputs"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1195 ["AUTOUNUSED" (describe-function 'verilog-auto-unused)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1196 :help "Help on AUTOUNUSED - terminating unused inputs"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1197 ["AUTOWIRE" (describe-function 'verilog-auto-wire)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1198 :help "Help on AUTOWIRE - declaring wires for cells"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1199 )
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1200 "----"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1201 ["Submit bug report" verilog-submit-bug-report
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1202 :help "Submit via mail a bug report on verilog-mode.el"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1203 ["Version and FAQ" verilog-faq
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1204 :help "Show the current version, and where to get the FAQ etc"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1205 ["Customize Verilog Mode..." verilog-customize
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1206 :help "Customize variables and other settings used by Verilog-Mode"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1207 ["Customize Verilog Fonts & Colors" verilog-font-customize
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1208 :help "Customize fonts used by Verilog-Mode."])))
80172
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
1209
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
1210 (easy-menu-define
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
1211 verilog-stmt-menu verilog-mode-map "Menu for statement templates in Verilog."
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1212 (verilog-easy-menu-filter
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1213 '("Statements"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1214 ["Header" verilog-sk-header
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1215 :help "Insert a header block at the top of file"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1216 ["Comment" verilog-sk-comment
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1217 :help "Insert a comment block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1218 "----"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1219 ["Module" verilog-sk-module
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1220 :help "Insert a module .. (/*AUTOARG*/);.. endmodule block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1221 ["Primitive" verilog-sk-primitive
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1222 :help "Insert a primitive .. (.. );.. endprimitive block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1223 "----"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1224 ["Input" verilog-sk-input
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1225 :help "Insert an input declaration"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1226 ["Output" verilog-sk-output
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1227 :help "Insert an output declaration"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1228 ["Inout" verilog-sk-inout
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1229 :help "Insert an inout declaration"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1230 ["Wire" verilog-sk-wire
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1231 :help "Insert a wire declaration"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1232 ["Reg" verilog-sk-reg
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1233 :help "Insert a register declaration"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1234 ["Define thing under point as a register" verilog-sk-define-signal
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1235 :help "Define signal under point as a register at the top of the module"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1236 "----"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1237 ["Initial" verilog-sk-initial
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1238 :help "Insert an initial begin .. end block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1239 ["Always" verilog-sk-always
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1240 :help "Insert an always @(AS) begin .. end block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1241 ["Function" verilog-sk-function
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1242 :help "Insert a function .. begin .. end endfunction block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1243 ["Task" verilog-sk-task
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1244 :help "Insert a task .. begin .. end endtask block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1245 ["Specify" verilog-sk-specify
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1246 :help "Insert a specify .. endspecify block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1247 ["Generate" verilog-sk-generate
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1248 :help "Insert a generate .. endgenerate block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1249 "----"
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1250 ["Begin" verilog-sk-begin
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1251 :help "Insert a begin .. end block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1252 ["If" verilog-sk-if
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1253 :help "Insert an if (..) begin .. end block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1254 ["(if) else" verilog-sk-else-if
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1255 :help "Insert an else if (..) begin .. end block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1256 ["For" verilog-sk-for
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1257 :help "Insert a for (...) begin .. end block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1258 ["While" verilog-sk-while
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1259 :help "Insert a while (...) begin .. end block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1260 ["Fork" verilog-sk-fork
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1261 :help "Insert a fork begin .. end .. join block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1262 ["Repeat" verilog-sk-repeat
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1263 :help "Insert a repeat (..) begin .. end block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1264 ["Case" verilog-sk-case
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1265 :help "Insert a case block, prompting for details"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1266 ["Casex" verilog-sk-casex
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1267 :help "Insert a casex (...) item: begin.. end endcase block"]
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1268 ["Casez" verilog-sk-casez
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
1269 :help "Insert a casez (...) item: begin.. end endcase block"])))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1270
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1271 (defvar verilog-mode-abbrev-table nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1272 "Abbrev table in use in Verilog-mode buffers.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1273
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1274 (define-abbrev-table 'verilog-mode-abbrev-table ())
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1275
79547
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1276 ;;
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1277 ;; Macros
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1278 ;;
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1279
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1280 (defsubst verilog-string-replace-matches (from-string to-string fixedcase literal string)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1281 "Replace occurrences of FROM-STRING with TO-STRING.
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1282 FIXEDCASE and LITERAL as in `replace-match`. STRING is what to replace.
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1283 The case (verilog-string-replace-matches \"o\" \"oo\" nil nil \"foobar\")
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1284 will break, as the o's continuously replace. xa -> x works ok though."
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1285 ;; Hopefully soon to a emacs built-in
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1286 (let ((start 0))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1287 (while (string-match from-string string start)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1288 (setq string (replace-match to-string fixedcase literal string)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1289 start (min (length string) (+ (match-beginning 0) (length to-string)))))
79547
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1290 string))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1291
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1292 (defsubst verilog-string-remove-spaces (string)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1293 "Remove spaces surrounding STRING."
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1294 (save-match-data
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1295 (setq string (verilog-string-replace-matches "^\\s-+" "" nil nil string))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1296 (setq string (verilog-string-replace-matches "\\s-+$" "" nil nil string))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1297 string))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1298
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1299 (defsubst verilog-re-search-forward (REGEXP BOUND NOERROR)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1300 ; checkdoc-params: (REGEXP BOUND NOERROR)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1301 "Like `re-search-forward', but skips over match in comments or strings."
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1302 (let ((mdata '(nil nil))) ;; So match-end will return nil if no matches found
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1303 (while (and
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1304 (re-search-forward REGEXP BOUND NOERROR)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1305 (setq mdata (match-data))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1306 (and (verilog-skip-forward-comment-or-string)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1307 (progn
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1308 (setq mdata '(nil nil))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1309 (if BOUND
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1310 (< (point) BOUND)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1311 t)))))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1312 (store-match-data mdata)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1313 (match-end 0)))
79547
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1314
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1315 (defsubst verilog-re-search-backward (REGEXP BOUND NOERROR)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1316 ; checkdoc-params: (REGEXP BOUND NOERROR)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1317 "Like `re-search-backward', but skips over match in comments or strings."
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1318 (let ((mdata '(nil nil))) ;; So match-end will return nil if no matches found
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1319 (while (and
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1320 (re-search-backward REGEXP BOUND NOERROR)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1321 (setq mdata (match-data))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1322 (and (verilog-skip-backward-comment-or-string)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1323 (progn
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1324 (setq mdata '(nil nil))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1325 (if BOUND
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1326 (> (point) BOUND)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1327 t)))))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1328 (store-match-data mdata)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
1329 (match-end 0)))
79547
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1330
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1331 (defsubst verilog-re-search-forward-quick (regexp bound noerror)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1332 "Like `verilog-re-search-forward', including use of REGEXP BOUND and NOERROR,
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1333 but trashes match data and is faster for REGEXP that doesn't match often.
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1334 This may at some point use text properties to ignore comments,
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1335 so there may be a large up front penalty for the first search."
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1336 (let (pt)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1337 (while (and (not pt)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1338 (re-search-forward regexp bound noerror))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1339 (if (not (verilog-inside-comment-p))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1340 (setq pt (match-end 0))))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1341 pt))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1342
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1343 (defsubst verilog-re-search-backward-quick (regexp bound noerror)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1344 ; checkdoc-params: (REGEXP BOUND NOERROR)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1345 "Like `verilog-re-search-backward', including use of REGEXP BOUND and NOERROR,
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1346 but trashes match data and is faster for REGEXP that doesn't match often.
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1347 This may at some point use text properties to ignore comments,
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1348 so there may be a large up front penalty for the first search."
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1349 (let (pt)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1350 (while (and (not pt)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1351 (re-search-backward regexp bound noerror))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1352 (if (not (verilog-inside-comment-p))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1353 (setq pt (match-end 0))))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1354 pt))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1355
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1356 (defsubst verilog-get-beg-of-line (&optional arg)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1357 (save-excursion
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1358 (beginning-of-line arg)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1359 (point)))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1360
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1361 (defsubst verilog-get-end-of-line (&optional arg)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1362 (save-excursion
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1363 (end-of-line arg)
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1364 (point)))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1365
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1366 (defsubst verilog-within-string ()
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1367 (save-excursion
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1368 (nth 3 (parse-partial-sexp (verilog-get-beg-of-line) (point)))))
46725aa288e8 (verilog-string-replace-matches)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79546
diff changeset
1369
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1370 (defvar compile-command)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1371
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1372 ;; compilation program
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1373 (defun verilog-set-compile-command ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
1374 "Function to compute shell command to compile Verilog.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1375
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1376 This reads `verilog-tool' and sets `compile-command'. This specifies the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1377 program that executes when you type \\[compile] or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1378 \\[verilog-auto-save-compile].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1379
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1380 By default `verilog-tool' uses a Makefile if one exists in the current
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1381 directory. If not, it is set to the `verilog-linter', `verilog-coverage',
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1382 `verilog-simulator', or `verilog-compiler' variables, as selected with the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1383 Verilog -> \"Choose Compilation Action\" menu.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1384
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1385 You should set `verilog-tool' or the other variables to the path and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1386 arguments for your Verilog simulator. For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1387 \"vcs -p123 -O\"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1388 or a string like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1389 \"(cd /tmp; surecov %s)\".
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1390
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1391 In the former case, the path to the current buffer is concat'ed to the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1392 value of `verilog-tool'; in the later, the path to the current buffer is
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1393 substituted for the %s.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1394
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
1395 Where __FILE__ appears in the string, the `buffer-file-name' of the
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
1396 current buffer, without the directory portion, will be substituted."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1397 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1398 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1399 ((or (file-exists-p "makefile") ;If there is a makefile, use it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1400 (file-exists-p "Makefile"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1401 (make-local-variable 'compile-command)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1402 (setq compile-command "make "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1403 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1404 (make-local-variable 'compile-command)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1405 (setq compile-command
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1406 (if verilog-tool
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1407 (if (string-match "%s" (eval verilog-tool))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1408 (format (eval verilog-tool) (or buffer-file-name ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1409 (concat (eval verilog-tool) " " (or buffer-file-name "")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1410 ""))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1411 (verilog-modify-compile-command))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1412
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1413 (defun verilog-modify-compile-command ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1414 "Replace meta-information in `compile-command'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1415 Where __FILE__ appears in the string, the current buffer's file-name,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1416 without the directory portion, will be substituted."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1417 (when (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1418 (stringp compile-command)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1419 (string-match "\\b__FILE__\\b" compile-command))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1420 (make-local-variable 'compile-command)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1421 (setq compile-command
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1422 (verilog-string-replace-matches
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1423 "\\b__FILE__\\b" (file-name-nondirectory (buffer-file-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1424 t t compile-command))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1425
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1426 ;; Following code only gets called from compilation-mode-hook on XEmacs to add error handling.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1427 ;; There is no way to add this on the fly to Emacs; instead we must update compile.el
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1428 (if (featurep 'xemacs)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1429 (defun verilog-error-regexp-add-xemacs ()
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1430 "Teach XEmacs about verilog errors.
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1431 Called by `compilation-mode-hook'. This allows \\[next-error] to
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
1432 find the errors."
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1433 (interactive)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1434 (if 't ; (not verilog-error-regexp-add-didit)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1435 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1436 (if (or (equal compilation-error-regexp-systems-list 'all)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1437 (not (member 'verilog compilation-error-regexp-systems-list)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1438 (setq compilation-error-regexp-systems-list
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1439 (if (listp compilation-error-regexp-systems-list)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1440 (nconc compilation-error-regexp-systems-list 'verilog)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1441 'verilog)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1442 (if (not (assoc 'verilog compilation-error-regexp-alist-alist))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1443 (setcdr compilation-error-regexp-alist-alist
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1444 (cons verilog-error-regexp-alist
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1445 (cdr compilation-error-regexp-alist-alist))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1446 ;; Need to re-run compilation-error-regexp builder
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1447 (compilation-build-compilation-error-regexp-alist))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1448 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1449 (defun verilog-error-regexp-add-emacs ()
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1450 "Tell Emacs compile that we are Verilog.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1451 Called by `compilation-mode-hook'. This allows \\[next-error] to
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1452 find the errors."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1453 (interactive)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1454 ;; Turned off because there seems no way to do this outside of compile.el
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1455 ;;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1456 ;; (if (or (equal compilation-error-regexp-alist 'all)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1457 ;; (not (member 'verilog compilation-error-regexp-alist)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1458 ;; (setq compilation-error-regexp-alist
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1459 ;; (if (listp compilation-error-regexp-alist)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1460 ;; (append '(verilog) compilation-error-regexp-alist)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1461 ;; '(verilog) )))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1462 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1463
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1464 (if (featurep 'xemacs)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1465 (add-hook 'compilation-mode-hook 'verilog-error-regexp-add-xemacs)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1466 (add-hook 'compilation-mode-hook 'verilog-error-regexp-add-emacs))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1467
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1468 (defconst verilog-directive-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1469 ;; "`case" "`default" "`define" "`define" "`else" "`endfor" "`endif"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1470 ;; "`endprotect" "`endswitch" "`endwhile" "`for" "`format" "`if" "`ifdef"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1471 ;; "`ifndef" "`include" "`let" "`protect" "`switch" "`timescale"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1472 ;; "`time_scale" "`undef" "`while"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1473 "\\<`\\(case\\|def\\(ault\\|ine\\(\\)?\\)\\|e\\(lse\\|nd\\(for\\|if\\|protect\\|switch\\|while\\)\\)\\|for\\(mat\\)?\\|i\\(f\\(def\\|ndef\\)?\\|nclude\\)\\|let\\|protect\\|switch\\|time\\(_scale\\|scale\\)\\|undef\\|while\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1474
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1475 (defconst verilog-directive-re-1
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1476 (concat "[ \t]*" verilog-directive-re))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1477
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1478 (defconst verilog-directive-begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1479 "\\<`\\(for\\|i\\(f\\|fdef\\|fndef\\)\\|switch\\|while\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1480
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1481 (defconst verilog-directive-middle
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1482 "\\<`\\(else\\|default\\|case\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1483
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1484 (defconst verilog-directive-end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1485 "`\\(endfor\\|endif\\|endswitch\\|endwhile\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1486
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1487 (defconst verilog-ovm-begin-re
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1488 (eval-when-compile
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1489 (verilog-regexp-opt
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1490 '(
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1491 "`ovm_component_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1492 "`ovm_field_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1493 "`ovm_object_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1494 "`ovm_sequence_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1495 "`ovm_sequencer_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1496 ) nil )))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1497
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1498 (defconst verilog-ovm-end-re
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1499 (eval-when-compile
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1500 (verilog-regexp-opt
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1501 '(
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1502 "`ovm_component_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1503 "`ovm_field_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1504 "`ovm_object_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1505 "`ovm_sequence_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1506 "`ovm_sequencer_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1507 ) nil )))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1508
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1509 (defconst verilog-ovm-statement-re
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1510 (eval-when-compile
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1511 (verilog-regexp-opt
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1512 '(
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1513 ;; Statements
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1514 "`DUT_ERROR"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1515 "`MESSAGE"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1516 "`dut_error"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1517 "`message"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1518 "`ovm_analysis_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1519 "`ovm_blocking_get_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1520 "`ovm_blocking_get_peek_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1521 "`ovm_blocking_master_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1522 "`ovm_blocking_peek_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1523 "`ovm_blocking_put_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1524 "`ovm_blocking_slave_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1525 "`ovm_blocking_transport_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1526 "`ovm_component_registry"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1527 "`ovm_component_registry_param"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1528 "`ovm_component_utils"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1529 "`ovm_create"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1530 "`ovm_create_seq"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1531 "`ovm_declare_sequence_lib"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1532 "`ovm_do"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1533 "`ovm_do_seq"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1534 "`ovm_do_seq_with"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1535 "`ovm_do_with"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1536 "`ovm_error"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1537 "`ovm_fatal"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1538 "`ovm_field_aa_int_byte"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1539 "`ovm_field_aa_int_byte_unsigned"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1540 "`ovm_field_aa_int_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1541 "`ovm_field_aa_int_int_unsigned"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1542 "`ovm_field_aa_int_integer"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1543 "`ovm_field_aa_int_integer_unsigned"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1544 "`ovm_field_aa_int_key"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1545 "`ovm_field_aa_int_longint"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1546 "`ovm_field_aa_int_longint_unsigned"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1547 "`ovm_field_aa_int_shortint"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1548 "`ovm_field_aa_int_shortint_unsigned"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1549 "`ovm_field_aa_int_string"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1550 "`ovm_field_aa_object_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1551 "`ovm_field_aa_object_string"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1552 "`ovm_field_aa_string_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1553 "`ovm_field_aa_string_string"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1554 "`ovm_field_array_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1555 "`ovm_field_array_object"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1556 "`ovm_field_array_string"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1557 "`ovm_field_enum"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1558 "`ovm_field_event"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1559 "`ovm_field_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1560 "`ovm_field_object"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1561 "`ovm_field_queue_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1562 "`ovm_field_queue_object"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1563 "`ovm_field_queue_string"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1564 "`ovm_field_sarray_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1565 "`ovm_field_string"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1566 "`ovm_field_utils"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1567 "`ovm_file"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1568 "`ovm_get_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1569 "`ovm_get_peek_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1570 "`ovm_info"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1571 "`ovm_info1"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1572 "`ovm_info2"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1573 "`ovm_info3"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1574 "`ovm_info4"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1575 "`ovm_line"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1576 "`ovm_master_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1577 "`ovm_msg_detail"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1578 "`ovm_non_blocking_transport_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1579 "`ovm_nonblocking_get_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1580 "`ovm_nonblocking_get_peek_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1581 "`ovm_nonblocking_master_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1582 "`ovm_nonblocking_peek_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1583 "`ovm_nonblocking_put_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1584 "`ovm_nonblocking_slave_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1585 "`ovm_object_registry"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1586 "`ovm_object_registry_param"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1587 "`ovm_object_utils"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1588 "`ovm_peek_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1589 "`ovm_phase_func_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1590 "`ovm_phase_task_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1591 "`ovm_print_aa_int_object"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1592 "`ovm_print_aa_string_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1593 "`ovm_print_aa_string_object"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1594 "`ovm_print_aa_string_string"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1595 "`ovm_print_array_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1596 "`ovm_print_array_object"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1597 "`ovm_print_array_string"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1598 "`ovm_print_object_queue"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1599 "`ovm_print_queue_int"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1600 "`ovm_print_string_queue"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1601 "`ovm_put_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1602 "`ovm_rand_send"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1603 "`ovm_rand_send_with"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1604 "`ovm_send"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1605 "`ovm_sequence_utils"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1606 "`ovm_slave_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1607 "`ovm_transport_imp_decl"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1608 "`ovm_update_sequence_lib"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1609 "`ovm_update_sequence_lib_and_item"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1610 "`ovm_warning"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1611 "`static_dut_error"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1612 "`static_message") nil )))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1613
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1614
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1615 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1616 ;; Regular expressions used to calculate indent, etc.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1617 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1618 (defconst verilog-symbol-re "\\<[a-zA-Z_][a-zA-Z_0-9.]*\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1619 ;; Want to match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1620 ;; aa :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1621 ;; aa,bb :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1622 ;; a[34:32] :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1623 ;; a,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1624 ;; b :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1625
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1626 (defconst verilog-no-indent-begin-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1627 "\\<\\(if\\|else\\|while\\|for\\|repeat\\|always\\|always_comb\\|always_ff\\|always_latch\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1628
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1629 (defconst verilog-ends-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1630 ;; Parenthesis indicate type of keyword found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1631 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1632 "\\(\\<else\\>\\)\\|" ; 1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1633 "\\(\\<if\\>\\)\\|" ; 2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1634 "\\(\\<end\\>\\)\\|" ; 3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1635 "\\(\\<endcase\\>\\)\\|" ; 4
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1636 "\\(\\<endfunction\\>\\)\\|" ; 5
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1637 "\\(\\<endtask\\>\\)\\|" ; 6
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1638 "\\(\\<endspecify\\>\\)\\|" ; 7
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1639 "\\(\\<endtable\\>\\)\\|" ; 8
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1640 "\\(\\<endgenerate\\>\\)\\|" ; 9
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1641 "\\(\\<join\\(_any\\|_none\\)?\\>\\)\\|" ; 10
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1642 "\\(\\<endclass\\>\\)\\|" ; 11
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1643 "\\(\\<endgroup\\>\\)\\|" ; 12
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1644 ;; OVM
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1645 "\\(\\<`ovm_component_utils_end\\>\\)\\|"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1646 "\\(\\<`ovm_field_utils_end\\>\\)\\|"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1647 "\\(\\<`ovm_object_utils_end\\>\\)\\|"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1648 "\\(\\<`ovm_sequence_utils_end\\>\\)\\|"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1649 "\\(\\<`ovm_sequencer_utils_end\\>\\)"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1650
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1651 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1652
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1653 (defconst verilog-auto-end-comment-lines-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1654 ;; Matches to names in this list cause auto-end-commentation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1655 (concat "\\("
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1656 verilog-directive-re "\\)\\|\\("
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1657 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1658 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1659 `( "begin"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1660 "else"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1661 "end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1662 "endcase"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1663 "endclass"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1664 "endclocking"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1665 "endgroup"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1666 "endfunction"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1667 "endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1668 "endprogram"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1669 "endprimitive"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1670 "endinterface"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1671 "endpackage"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1672 "endsequence"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1673 "endspecify"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1674 "endtable"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1675 "endtask"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1676 "join"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1677 "join_any"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1678 "join_none"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1679 "module"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1680 "macromodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1681 "primitive"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1682 "interface"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1683 "package")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1684 "\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1685
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1686 ;;; NOTE: verilog-leap-to-head expects that verilog-end-block-re and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1687 ;;; verilog-end-block-ordered-re matches exactly the same strings.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1688 (defconst verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1689 ;; Parenthesis indicate type of keyword found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1690 (concat "\\(\\<endcase\\>\\)\\|" ; 1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1691 "\\(\\<end\\>\\)\\|" ; 2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1692 "\\(\\<end" ; 3, but not used
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1693 "\\(" ; 4, but not used
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1694 "\\(function\\)\\|" ; 5
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1695 "\\(task\\)\\|" ; 6
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1696 "\\(module\\)\\|" ; 7
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1697 "\\(primitive\\)\\|" ; 8
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1698 "\\(interface\\)\\|" ; 9
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1699 "\\(package\\)\\|" ; 10
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1700 "\\(class\\)\\|" ; 11
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1701 "\\(group\\)\\|" ; 12
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1702 "\\(program\\)\\|" ; 13
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1703 "\\(sequence\\)\\|" ; 14
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1704 "\\(clocking\\)\\|" ; 15
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1705 "\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1706 (defconst verilog-end-block-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1707 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1708 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1709
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1710 `("end" ;; closes begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1711 "endcase" ;; closes any of case, casex casez or randcase
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1712 "join" "join_any" "join_none" ;; closes fork
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1713 "endclass"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1714 "endtable"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1715 "endspecify"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1716 "endfunction"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1717 "endgenerate"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1718 "endtask"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1719 "endgroup"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1720 "endproperty"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1721 "endinterface"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1722 "endpackage"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1723 "endprogram"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1724 "endsequence"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1725 "endclocking"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1726 ;; OVM
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1727 "`ovm_component_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1728 "`ovm_field_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1729 "`ovm_object_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1730 "`ovm_sequence_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1731 "`ovm_sequencer_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1732
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
1733 ))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1734
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1735
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1736 (defconst verilog-endcomment-reason-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1737 ;; Parenthesis indicate type of keyword found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1738 (concat
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1739 "\\(\\<begin\\>\\)\\|" ; 1
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1740 "\\(\\<else\\>\\)\\|" ; 2
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1741 "\\(\\<end\\>\\s-+\\<else\\>\\)\\|" ; 3
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1742 "\\(\\<always_comb\\>\\(\[ \t\]*@\\)?\\)\\|" ; 4
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1743 "\\(\\<always_ff\\>\\(\[ \t\]*@\\)?\\)\\|" ; 5
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1744 "\\(\\<always_latch\\>\\(\[ \t\]*@\\)?\\)\\|" ; 6
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1745 "\\(\\<fork\\>\\)\\|" ; 7
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1746 "\\(\\<always\\>\\(\[ \t\]*@\\)?\\)\\|"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1747 "\\(\\<if\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1748 "\\(\\<clocking\\>\\)\\|"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1749 "\\(\\<task\\>\\)\\|"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1750 "\\(\\<function\\>\\)\\|"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1751 "\\(\\<initial\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1752 "\\(\\<interface\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1753 "\\(\\<package\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1754 "\\(\\<final\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1755 "\\(@\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1756 "\\(\\<while\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1757 "\\(\\<for\\(ever\\|each\\)?\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1758 "\\(\\<repeat\\>\\)\\|\\(\\<wait\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1759 "#"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1760
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1761 (defconst verilog-named-block-re "begin[ \t]*:")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1762
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1763 ;; These words begin a block which can occur inside a module which should be indented,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1764 ;; and closed with the respective word from the end-block list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1765
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1766 (defconst verilog-beg-block-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1767 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1768 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1769 `("begin"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1770 "case" "casex" "casez" "randcase"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1771 "clocking"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1772 "generate"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1773 "fork"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1774 "function"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1775 "property"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1776 "specify"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1777 "table"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1778 "task"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1779 ;;; OVM
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1780 "`ovm_component_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1781 "`ovm_field_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1782 "`ovm_object_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1783 "`ovm_sequence_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1784 "`ovm_sequencer_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1785
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1786 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1787 ;; These are the same words, in a specific order in the regular
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1788 ;; expression so that matching will work nicely for
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1789 ;; verilog-forward-sexp and verilog-calc-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1790 (defconst verilog-beg-block-re-ordered
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1791 ( concat "\\(\\<begin\\>\\)" ;1
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1792 "\\|\\(\\<randcase\\>\\|\\(\\<unique\\s-+\\|priority\\s-+\\)?case[xz]?\\>\\)" ; 2,3
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1793 "\\|\\(\\(\\<disable\\>\\s-+\\)?fork\\>\\)" ;4,5
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1794 "\\|\\(\\<class\\>\\)" ;6
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1795 "\\|\\(\\<table\\>\\)" ;7
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1796 "\\|\\(\\<specify\\>\\)" ;8
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1797 "\\|\\(\\<function\\>\\)" ;9
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1798 "\\|\\(\\(\\(\\<virtual\\>\\s-+\\)\\|\\(\\<protected\\>\\s-+\\)\\)*\\<function\\>\\)" ;10
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1799 "\\|\\(\\<task\\>\\)" ;14
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1800 "\\|\\(\\(\\(\\<virtual\\>\\s-+\\)\\|\\(\\<protected\\>\\s-+\\)\\)*\\<task\\>\\)" ;15
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1801 "\\|\\(\\<generate\\>\\)" ;18
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1802 "\\|\\(\\<covergroup\\>\\)" ;16 20
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1803 "\\|\\(\\(\\(\\<cover\\>\\s-+\\)\\|\\(\\<assert\\>\\s-+\\)\\)*\\<property\\>\\)" ;17 21
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1804 "\\|\\(\\<\\(rand\\)?sequence\\>\\)" ;21 25
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1805 "\\|\\(\\<clocking\\>\\)" ;22 27
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1806 "\\|\\(\\<`ovm_[a-z_]+_begin\\>\\)" ;28
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1807 ;;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1808
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1809 ))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1810
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1811 (defconst verilog-end-block-ordered-rry
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1812 [ "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)\\|\\(\\<endcase\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1813 "\\(\\<randcase\\>\\|\\<case[xz]?\\>\\)\\|\\(\\<endcase\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1814 "\\(\\<fork\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1815 "\\(\\<class\\>\\)\\|\\(\\<endclass\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1816 "\\(\\<table\\>\\)\\|\\(\\<endtable\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1817 "\\(\\<specify\\>\\)\\|\\(\\<endspecify\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1818 "\\(\\<function\\>\\)\\|\\(\\<endfunction\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1819 "\\(\\<generate\\>\\)\\|\\(\\<endgenerate\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1820 "\\(\\<task\\>\\)\\|\\(\\<endtask\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1821 "\\(\\<covergroup\\>\\)\\|\\(\\<endgroup\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1822 "\\(\\<property\\>\\)\\|\\(\\<endproperty\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1823 "\\(\\<\\(rand\\)?sequence\\>\\)\\|\\(\\<endsequence\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1824 "\\(\\<clocking\\>\\)\\|\\(\\<endclocking\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1825 ] )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1826
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1827 (defconst verilog-nameable-item-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1828 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1829 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1830 `("begin"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1831 "fork"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1832 "join" "join_any" "join_none"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1833 "end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1834 "endcase"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1835 "endconfig"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1836 "endclass"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1837 "endclocking"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1838 "endfunction"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1839 "endgenerate"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1840 "endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1841 "endprimative"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1842 "endinterface"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1843 "endpackage"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1844 "endspecify"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1845 "endtable"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1846 "endtask" )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1847 )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1848
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1849 (defconst verilog-declaration-opener
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1850 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1851 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1852 `("module" "begin" "task" "function"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1853
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1854 (defconst verilog-declaration-prefix-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1855 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1856 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1857 `(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1858 ;; port direction
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1859 "inout" "input" "output" "ref"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1860 ;; changeableness
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1861 "const" "static" "protected" "local"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1862 ;; parameters
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1863 "localparam" "parameter" "var"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1864 ;; type creation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1865 "typedef"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1866 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1867 (defconst verilog-declaration-core-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1868 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1869 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1870 `(
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
1871 ;; port direction (by themselves)
80141
00b853b0f933 (customize): Fix typo in error message.
Juanma Barranquero <lekktu@gmail.com>
parents: 80024
diff changeset
1872 "inout" "input" "output"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1873 ;; integer_atom_type
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1874 "byte" "shortint" "int" "longint" "integer" "time"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1875 ;; integer_vector_type
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1876 "bit" "logic" "reg"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1877 ;; non_integer_type
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1878 "shortreal" "real" "realtime"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1879 ;; net_type
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1880 "supply0" "supply1" "tri" "triand" "trior" "trireg" "tri0" "tri1" "uwire" "wire" "wand" "wor"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1881 ;; misc
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1882 "string" "event" "chandle" "virtual" "enum" "genvar"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1883 "struct" "union"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1884 ;; builtin classes
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1885 "mailbox" "semaphore"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1886 ))))
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
1887 (defconst verilog-declaration-re
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1888 (concat "\\(" verilog-declaration-prefix-re "\\s-*\\)?" verilog-declaration-core-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1889 (defconst verilog-range-re "\\(\\[[^]]*\\]\\s-*\\)+")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1890 (defconst verilog-optional-signed-re "\\s-*\\(signed\\)?")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1891 (defconst verilog-optional-signed-range-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1892 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1893 "\\s-*\\(\\<\\(reg\\|wire\\)\\>\\s-*\\)?\\(\\<signed\\>\\s-*\\)?\\(" verilog-range-re "\\)?"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1894 (defconst verilog-macroexp-re "`\\sw+")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1895
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1896 (defconst verilog-delay-re "#\\s-*\\(\\([0-9_]+\\('s?[hdxbo][0-9a-fA-F_xz]+\\)?\\)\\|\\(([^()]*)\\)\\|\\(\\sw+\\)\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1897 (defconst verilog-declaration-re-2-no-macro
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1898 (concat "\\s-*" verilog-declaration-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1899 "\\s-*\\(\\(" verilog-optional-signed-range-re "\\)\\|\\(" verilog-delay-re "\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1900 "\\)?"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1901 (defconst verilog-declaration-re-2-macro
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1902 (concat "\\s-*" verilog-declaration-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1903 "\\s-*\\(\\(" verilog-optional-signed-range-re "\\)\\|\\(" verilog-delay-re "\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1904 "\\|\\(" verilog-macroexp-re "\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1905 "\\)?"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1906 (defconst verilog-declaration-re-1-macro
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1907 (concat "^" verilog-declaration-re-2-macro))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1908
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1909 (defconst verilog-declaration-re-1-no-macro (concat "^" verilog-declaration-re-2-no-macro))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1910
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1911 (defconst verilog-defun-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1912 (eval-when-compile (verilog-regexp-words `("macromodule" "module" "class" "program" "interface" "package" "primitive" "config"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1913 (defconst verilog-end-defun-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1914 (eval-when-compile (verilog-regexp-words `("endmodule" "endclass" "endprogram" "endinterface" "endpackage" "endprimitive" "endconfig"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1915 (defconst verilog-zero-indent-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1916 (concat verilog-defun-re "\\|" verilog-end-defun-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1917
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1918 (defconst verilog-behavioral-block-beg-re
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1919 (eval-when-compile (verilog-regexp-words `("initial" "final" "always" "always_comb" "always_latch" "always_ff"
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
1920 "function" "task"))))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1921 (defconst verilog-coverpoint-re "\\w+\\s*:\\s*\\(coverpoint\\|cross\\constraint\\)" )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1922 (defconst verilog-indent-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1923 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1924 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1925 `(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1926 "{"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1927 "always" "always_latch" "always_ff" "always_comb"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1928 "begin" "end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1929 ; "unique" "priority"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1930 "case" "casex" "casez" "randcase" "endcase"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1931 "class" "endclass"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1932 "clocking" "endclocking"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1933 "config" "endconfig"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1934 "covergroup" "endgroup"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1935 "fork" "join" "join_any" "join_none"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1936 "function" "endfunction"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1937 "final"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1938 "generate" "endgenerate"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1939 "initial"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1940 "interface" "endinterface"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1941 "module" "macromodule" "endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1942 "package" "endpackage"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1943 "primitive" "endprimative"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1944 "program" "endprogram"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1945 "property" "endproperty"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1946 "sequence" "randsequence" "endsequence"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1947 "specify" "endspecify"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1948 "table" "endtable"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1949 "task" "endtask"
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
1950 "virtual"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1951 "`case"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1952 "`default"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1953 "`define" "`undef"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1954 "`if" "`ifdef" "`ifndef" "`else" "`endif"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1955 "`while" "`endwhile"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1956 "`for" "`endfor"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1957 "`format"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1958 "`include"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1959 "`let"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1960 "`protect" "`endprotect"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1961 "`switch" "`endswitch"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1962 "`timescale"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1963 "`time_scale"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1964 ;; OVM Begin tokens
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1965 "`ovm_component_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1966 "`ovm_field_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1967 "`ovm_object_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1968 "`ovm_sequence_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1969 "`ovm_sequencer_utils_begin"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1970 ;; OVM End tokens
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1971 "`ovm_component_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1972 "`ovm_field_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1973 "`ovm_object_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1974 "`ovm_sequence_utils_end"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1975 "`ovm_sequencer_utils_end"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1976 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1977
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1978 (defconst verilog-defun-level-not-generate-re
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1979 (eval-when-compile
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1980 (verilog-regexp-words
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1981 `( "module" "macromodule" "primitive" "class" "program"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1982 "interface" "package" "config"))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1983
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1984 (defconst verilog-defun-level-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1985 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1986 (verilog-regexp-words
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1987 (append
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1988 `( "module" "macromodule" "primitive" "class" "program"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1989 "interface" "package" "config")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1990 `( "initial" "final" "always" "always_comb" "always_ff"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1991 "always_latch" "endtask" "endfunction" )))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1992
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1993 (defconst verilog-defun-level-generate-only-re
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1994 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1995 (verilog-regexp-words
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1996 `( "initial" "final" "always" "always_comb" "always_ff"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
1997 "always_latch" "endtask" "endfunction" ))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1998
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
1999 (defconst verilog-cpp-level-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2000 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2001 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2002 `(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2003 "endmodule" "endprimitive" "endinterface" "endpackage" "endprogram" "endclass"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2004 ))))
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2005 (defconst verilog-disable-fork-re "disable\\s-+fork")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2006 (defconst verilog-extended-case-re "\\(unique\\s-+\\|priority\\s-+\\)?case[xz]?")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2007 (defconst verilog-extended-complete-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2008 (concat "\\(\\<extern\\s-+\\|\\<virtual\\s-+\\|\\<protected\\s-+\\)*\\(\\<function\\>\\|\\<task\\>\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2009 "\\|\\(\\<typedef\\>\\s-+\\)*\\(\\<struct\\>\\|\\<union\\>\\|\\<class\\>\\)"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2010 "\\|\\(\\<import\\>\\s-+\\)?\"DPI-C\"\\s-+\\(function\\>\\|task\\>\\)"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2011 "\\|" verilog-extended-case-re ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2012 (defconst verilog-basic-complete-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2013 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2014 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2015 `(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2016 "always" "assign" "always_latch" "always_ff" "always_comb" "constraint"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2017 "import" "initial" "final" "module" "macromodule" "repeat" "randcase" "while"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2018 "if" "for" "forever" "foreach" "else" "parameter" "do" "localparam"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2019 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2020 (defconst verilog-complete-reg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2021 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2022 verilog-extended-complete-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2023 "\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2024 verilog-basic-complete-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2025
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2026 (defconst verilog-end-statement-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2027 (concat "\\(" verilog-beg-block-re "\\)\\|\\("
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2028 verilog-end-block-re "\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2029
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2030 (defconst verilog-endcase-re
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2031 (concat verilog-extended-case-re "\\|"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2032 "\\(endcase\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2033 verilog-defun-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2034 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2035
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2036 (defconst verilog-exclude-str-start "/* -----\\/----- EXCLUDED -----\\/-----"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2037 "String used to mark beginning of excluded text.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2038 (defconst verilog-exclude-str-end " -----/\\----- EXCLUDED -----/\\----- */"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2039 "String used to mark end of excluded text.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2040 (defconst verilog-preprocessor-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2041 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2042 (verilog-regexp-words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2043 `(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2044 "`define" "`include" "`ifdef" "`ifndef" "`if" "`endif" "`else"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2045 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2046
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2047 (defconst verilog-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2048 '( "`case" "`default" "`define" "`else" "`endfor" "`endif"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2049 "`endprotect" "`endswitch" "`endwhile" "`for" "`format" "`if" "`ifdef"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2050 "`ifndef" "`include" "`let" "`protect" "`switch" "`timescale"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2051 "`time_scale" "`undef" "`while"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2052
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2053 "after" "alias" "always" "always_comb" "always_ff" "always_latch" "and"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2054 "assert" "assign" "assume" "automatic" "before" "begin" "bind"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2055 "bins" "binsof" "bit" "break" "buf" "bufif0" "bufif1" "byte"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2056 "case" "casex" "casez" "cell" "chandle" "class" "clocking" "cmos"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2057 "config" "const" "constraint" "context" "continue" "cover"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2058 "covergroup" "coverpoint" "cross" "deassign" "default" "defparam"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2059 "design" "disable" "dist" "do" "edge" "else" "end" "endcase"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2060 "endclass" "endclocking" "endconfig" "endfunction" "endgenerate"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2061 "endgroup" "endinterface" "endmodule" "endpackage" "endprimitive"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2062 "endprogram" "endproperty" "endspecify" "endsequence" "endtable"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2063 "endtask" "enum" "event" "expect" "export" "extends" "extern"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2064 "final" "first_match" "for" "force" "foreach" "forever" "fork"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2065 "forkjoin" "function" "generate" "genvar" "highz0" "highz1" "if"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2066 "iff" "ifnone" "ignore_bins" "illegal_bins" "import" "incdir"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2067 "include" "initial" "inout" "input" "inside" "instance" "int"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2068 "integer" "interface" "intersect" "join" "join_any" "join_none"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2069 "large" "liblist" "library" "local" "localparam" "logic"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2070 "longint" "macromodule" "mailbox" "matches" "medium" "modport" "module"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2071 "nand" "negedge" "new" "nmos" "nor" "noshowcancelled" "not"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2072 "notif0" "notif1" "null" "or" "output" "package" "packed"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2073 "parameter" "pmos" "posedge" "primitive" "priority" "program"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2074 "property" "protected" "pull0" "pull1" "pulldown" "pullup"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2075 "pulsestyle_onevent" "pulsestyle_ondetect" "pure" "rand" "randc"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2076 "randcase" "randsequence" "rcmos" "real" "realtime" "ref" "reg"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2077 "release" "repeat" "return" "rnmos" "rpmos" "rtran" "rtranif0"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2078 "rtranif1" "scalared" "semaphore" "sequence" "shortint" "shortreal"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2079 "showcancelled" "signed" "small" "solve" "specify" "specparam"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2080 "static" "string" "strong0" "strong1" "struct" "super" "supply0"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2081 "supply1" "table" "tagged" "task" "this" "throughout" "time"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2082 "timeprecision" "timeunit" "tran" "tranif0" "tranif1" "tri"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2083 "tri0" "tri1" "triand" "trior" "trireg" "type" "typedef" "union"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2084 "unique" "unsigned" "use" "uwire" "var" "vectored" "virtual" "void"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2085 "wait" "wait_order" "wand" "weak0" "weak1" "while" "wildcard"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2086 "wire" "with" "within" "wor" "xnor" "xor"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2087 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2088 "List of Verilog keywords.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2089
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2090 (defconst verilog-comment-start-regexp "//\\|/\\*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2091 "Dual comment value for `comment-start-regexp'.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2092
79810
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2093 (defvar verilog-mode-syntax-table
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2094 (let ((table (make-syntax-table)))
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2095 ;; Populate the syntax TABLE.
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2096 (modify-syntax-entry ?\\ "\\" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2097 (modify-syntax-entry ?+ "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2098 (modify-syntax-entry ?- "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2099 (modify-syntax-entry ?= "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2100 (modify-syntax-entry ?% "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2101 (modify-syntax-entry ?< "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2102 (modify-syntax-entry ?> "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2103 (modify-syntax-entry ?& "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2104 (modify-syntax-entry ?| "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2105 (modify-syntax-entry ?` "w" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2106 (modify-syntax-entry ?_ "w" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2107 (modify-syntax-entry ?\' "." table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2108
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2109 ;; Set up TABLE to handle block and line style comments.
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2110 (if (featurep 'xemacs)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2111 (progn
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2112 ;; XEmacs (formerly Lucid) has the best implementation
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2113 (modify-syntax-entry ?/ ". 1456" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2114 (modify-syntax-entry ?* ". 23" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2115 (modify-syntax-entry ?\n "> b" table))
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
2116 ;; Emacs does things differently, but we can work with it
79810
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2117 (modify-syntax-entry ?/ ". 124b" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2118 (modify-syntax-entry ?* ". 23" table)
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2119 (modify-syntax-entry ?\n "> b" table))
606faa750dd7 (verilog-mode-map): Don't bind C-M-a,
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79801
diff changeset
2120 table)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2121 "Syntax table used in Verilog mode buffers.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2122
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2123 (defvar verilog-font-lock-keywords nil
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2124 "Default highlighting for Verilog mode.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2125
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2126 (defvar verilog-font-lock-keywords-1 nil
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2127 "Subdued level highlighting for Verilog mode.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2128
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2129 (defvar verilog-font-lock-keywords-2 nil
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2130 "Medium level highlighting for Verilog mode.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2131 See also `verilog-font-lock-extra-types'.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2132
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2133 (defvar verilog-font-lock-keywords-3 nil
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2134 "Gaudy level highlighting for Verilog mode.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2135 See also `verilog-font-lock-extra-types'.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2136 (defvar verilog-font-lock-translate-off-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2137 'verilog-font-lock-translate-off-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2138 "Font to use for translated off regions.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2139 (defface verilog-font-lock-translate-off-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2140 '((((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2141 (background light))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2142 (:background "gray90" :italic t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2143 (((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2144 (background dark))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2145 (:background "gray10" :italic t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2146 (((class grayscale) (background light))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2147 (:foreground "DimGray" :italic t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2148 (((class grayscale) (background dark))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2149 (:foreground "LightGray" :italic t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2150 (t (:italis t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2151 "Font lock mode face used to background highlight translate-off regions."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2152 :group 'font-lock-highlighting-faces)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2153
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2154 (defvar verilog-font-lock-p1800-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2155 'verilog-font-lock-p1800-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2156 "Font to use for p1800 keywords.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2157 (defface verilog-font-lock-p1800-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2158 '((((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2159 (background light))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2160 (:foreground "DarkOrange3" :bold t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2161 (((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2162 (background dark))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2163 (:foreground "orange1" :bold t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2164 (t (:italic t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2165 "Font lock mode face used to highlight P1800 keywords."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2166 :group 'font-lock-highlighting-faces)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2167
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2168 (defvar verilog-font-lock-ams-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2169 'verilog-font-lock-ams-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2170 "Font to use for Analog/Mixed Signal keywords.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2171 (defface verilog-font-lock-ams-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2172 '((((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2173 (background light))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2174 (:foreground "Purple" :bold t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2175 (((class color)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2176 (background dark))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2177 (:foreground "orange1" :bold t ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2178 (t (:italic t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2179 "Font lock mode face used to highlight AMS keywords."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2180 :group 'font-lock-highlighting-faces)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2181
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2182 (defvar verilog-font-grouping-keywords-face
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2183 'verilog-font-lock-grouping-keywords-face
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2184 "Font to use for Verilog Grouping Keywords (such as begin..end).")
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2185 (defface verilog-font-lock-grouping-keywords-face
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2186 '((((class color)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2187 (background light))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2188 (:foreground "red4" :bold t ))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2189 (((class color)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2190 (background dark))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2191 (:foreground "red4" :bold t ))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2192 (t (:italic t)))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2193 "Font lock mode face used to highlight verilog grouping keywords."
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2194 :group 'font-lock-highlighting-faces)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2195
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2196 (let* ((verilog-type-font-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2197 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2198 (verilog-regexp-opt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2199 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2200 "and" "bit" "buf" "bufif0" "bufif1" "cmos" "defparam"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2201 "event" "genvar" "inout" "input" "integer" "localparam"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2202 "logic" "mailbox" "nand" "nmos" "not" "notif0" "notif1" "or"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2203 "output" "parameter" "pmos" "pull0" "pull1" "pullup"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2204 "rcmos" "real" "realtime" "reg" "rnmos" "rpmos" "rtran"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2205 "rtranif0" "rtranif1" "semaphore" "signed" "struct" "supply"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2206 "supply0" "supply1" "time" "tran" "tranif0" "tranif1"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2207 "tri" "tri0" "tri1" "triand" "trior" "trireg" "typedef"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2208 "uwire" "vectored" "wand" "wire" "wor" "xnor" "xor"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2209 ) nil )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2210
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2211 (verilog-pragma-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2212 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2213 (verilog-regexp-opt
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2214 '("surefire" "synopsys" "rtl_synthesis" "verilint" "leda" "0in") nil
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2215 )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2216
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2217 (verilog-p1800-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2218 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2219 (verilog-regexp-opt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2220 '("alias" "assert" "assume" "automatic" "before" "bind"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2221 "bins" "binsof" "break" "byte" "cell" "chandle" "class"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2222 "clocking" "config" "const" "constraint" "context" "continue"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2223 "cover" "covergroup" "coverpoint" "cross" "deassign" "design"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2224 "dist" "do" "edge" "endclass" "endclocking" "endconfig"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2225 "endgroup" "endprogram" "endproperty" "endsequence" "enum"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2226 "expect" "export" "extends" "extern" "first_match" "foreach"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2227 "forkjoin" "genvar" "highz0" "highz1" "ifnone" "ignore_bins"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2228 "illegal_bins" "import" "incdir" "include" "inside" "instance"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2229 "int" "intersect" "large" "liblist" "library" "local" "longint"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2230 "matches" "medium" "modport" "new" "noshowcancelled" "null"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2231 "packed" "program" "property" "protected" "pull0" "pull1"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2232 "pulsestyle_onevent" "pulsestyle_ondetect" "pure" "rand" "randc"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2233 "randcase" "randsequence" "ref" "release" "return" "scalared"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2234 "sequence" "shortint" "shortreal" "showcancelled" "small" "solve"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2235 "specparam" "static" "string" "strong0" "strong1" "struct"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2236 "super" "tagged" "this" "throughout" "timeprecision" "timeunit"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2237 "type" "union" "unsigned" "use" "var" "virtual" "void"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2238 "wait_order" "weak0" "weak1" "wildcard" "with" "within"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2239 ) nil )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2240
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2241 (verilog-ams-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2242 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2243 (verilog-regexp-opt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2244 '("above" "abs" "absdelay" "acos" "acosh" "ac_stim"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2245 "aliasparam" "analog" "analysis" "asin" "asinh" "atan" "atan2" "atanh"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2246 "branch" "ceil" "connectmodule" "connectrules" "cos" "cosh" "ddt"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2247 "ddx" "discipline" "driver_update" "enddiscipline" "endconnectrules"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2248 "endnature" "endparamset" "exclude" "exp" "final_step" "flicker_noise"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2249 "floor" "flow" "from" "ground" "hypot" "idt" "idtmod" "inf"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2250 "initial_step" "laplace_nd" "laplace_np" "laplace_zd" "laplace_zp"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2251 "last_crossing" "limexp" "ln" "log" "max" "min" "nature"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2252 "net_resolution" "noise_table" "paramset" "potential" "pow" "sin"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2253 "sinh" "slew" "sqrt" "tan" "tanh" "timer" "transition" "white_noise"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2254 "wreal" "zi_nd" "zi_np" "zi_zd" ) nil )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2255
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2256 (verilog-font-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2257 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2258 (verilog-regexp-opt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2259 '(
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2260 "assign" "case" "casex" "casez" "randcase" "deassign"
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2261 "default" "disable" "else" "endcase" "endfunction"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2262 "endgenerate" "endinterface" "endmodule" "endprimitive"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2263 "endspecify" "endtable" "endtask" "final" "for" "force" "return" "break"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2264 "continue" "forever" "fork" "function" "generate" "if" "iff" "initial"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2265 "interface" "join" "join_any" "join_none" "macromodule" "module" "negedge"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2266 "package" "endpackage" "always" "always_comb" "always_ff"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2267 "always_latch" "posedge" "primitive" "priority" "release"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2268 "repeat" "specify" "table" "task" "unique" "wait" "while"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2269 "class" "program" "endclass" "endprogram"
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2270 ) nil )))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2271
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2272 (verilog-font-grouping-keywords
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2273 (eval-when-compile
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2274 (verilog-regexp-opt
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2275 '( "begin" "end" ) nil ))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2276
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2277 (setq verilog-font-lock-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2278 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2279 ;; Fontify all builtin keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2280 (concat "\\<\\(" verilog-font-keywords "\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2281 ;; And user/system tasks and functions
80267
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
2282 "\\$[a-zA-Z][a-zA-Z0-9_\\$]*"
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
2283 "\\)\\>")
80270
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2284 ;; Fontify all types
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2285 (if verilog-highlight-grouping-keywords
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2286 (cons (concat "\\<\\(" verilog-font-grouping-keywords "\\)\\>")
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2287 'verilog-font-lock-ams-face)
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2288 (cons (concat "\\<\\(" verilog-font-grouping-keywords "\\)\\>")
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2289 'font-lock-type-face))
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2290 (cons (concat "\\<\\(" verilog-type-font-keywords "\\)\\>")
80267
c1d9521017f6 * verilog-mode.el (verilog-font-grouping-keywords): Fix bug in the
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80261
diff changeset
2291 'font-lock-type-face)
80270
e36e32d01703 (verilog-highlight-grouping-keywords):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80267
diff changeset
2292 ;; Fontify IEEE-P1800 keywords appropriately
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2293 (if verilog-highlight-p1800-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2294 (cons (concat "\\<\\(" verilog-p1800-keywords "\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2295 'verilog-font-lock-p1800-face)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2296 (cons (concat "\\<\\(" verilog-p1800-keywords "\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2297 'font-lock-type-face))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2298 ;; Fontify Verilog-AMS keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2299 (cons (concat "\\<\\(" verilog-ams-keywords "\\)\\>")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2300 'verilog-font-lock-ams-face)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2301
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2302 (setq verilog-font-lock-keywords-1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2303 (append verilog-font-lock-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2304 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2305 ;; Fontify module definitions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2306 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2307 "\\<\\(\\(macro\\)?module\\|primitive\\|class\\|program\\|interface\\|package\\|task\\)\\>\\s-*\\(\\sw+\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2308 '(1 font-lock-keyword-face)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2309 '(3 font-lock-function-name-face 'prepend))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2310 ;; Fontify function definitions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2311 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2312 (concat "\\<function\\>\\s-+\\(integer\\|real\\(time\\)?\\|time\\)\\s-+\\(\\sw+\\)" )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2313 '(1 font-lock-keyword-face)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2314 '(3 font-lock-reference-face prepend))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2315 '("\\<function\\>\\s-+\\(\\[[^]]+\\]\\)\\s-+\\(\\sw+\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2316 (1 font-lock-keyword-face)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2317 (2 font-lock-reference-face append))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2318 '("\\<function\\>\\s-+\\(\\sw+\\)"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2319 1 'font-lock-reference-face append))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2320
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2321 (setq verilog-font-lock-keywords-2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2322 (append verilog-font-lock-keywords-1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2323 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2324 ;; Fontify pragmas
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2325 (concat "\\(//\\s-*" verilog-pragma-keywords "\\s-.*\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2326 ;; Fontify escaped names
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2327 '("\\(\\\\\\S-*\\s-\\)" 0 font-lock-function-name-face)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2328 ;; Fontify macro definitions/ uses
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2329 '("`\\s-*[A-Za-z][A-Za-z0-9_]*" 0 (if (boundp 'font-lock-preprocessor-face)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2330 'font-lock-preprocessor-face
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2331 'font-lock-type-face))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2332 ;; Fontify delays/numbers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2333 '("\\(@\\)\\|\\(#\\s-*\\(\\(\[0-9_.\]+\\('s?[hdxbo][0-9a-fA-F_xz]*\\)?\\)\\|\\(([^()]+)\\|\\sw+\\)\\)\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2334 0 font-lock-type-face append)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2335 ;; Fontify instantiation names
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2336 '("\\([A-Za-z][A-Za-z0-9_]+\\)\\s-*(" 1 font-lock-function-name-face)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2337 )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2338
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2339 (setq verilog-font-lock-keywords-3
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2340 (append verilog-font-lock-keywords-2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2341 (when verilog-highlight-translate-off
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2342 (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2343 ;; Fontify things in translate off regions
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2344 '(verilog-match-translate-off
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2345 (0 'verilog-font-lock-translate-off-face prepend))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2346 )))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2347
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2348
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2349 (defun verilog-inside-comment-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2350 "Check if point inside a nested comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2351 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2352 (let ((st-point (point)) hitbeg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2353 (or (search-backward "//" (verilog-get-beg-of-line) t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2354 (if (progn
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2355 ;; This is for tricky case //*, we keep searching if /*
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2356 ;; is proceeded by // on same line.
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2357 (while
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2358 (and (setq hitbeg (search-backward "/*" nil t))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2359 (progn
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2360 (forward-char 1)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2361 (search-backward "//" (verilog-get-beg-of-line) t))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2362 hitbeg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2363 (not (search-forward "*/" st-point t)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2364
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2365 (defun verilog-declaration-end ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2366 (search-forward ";"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2367
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2368 (defun verilog-point-text (&optional pointnum)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2369 "Return text describing where POINTNUM or current point is (for errors).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2370 Use filename, if current buffer being edited shorten to just buffer name."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2371 (concat (or (and (equal (window-buffer (selected-window)) (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2372 (buffer-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2373 buffer-file-name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2374 (buffer-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2375 ":" (int-to-string (count-lines (point-min) (or pointnum (point))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2376
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2377 (defun electric-verilog-backward-sexp ()
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
2378 "Move backward over one balanced expression."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2379 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2380 ;; before that see if we are in a comment
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2381 (verilog-backward-sexp))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2382
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2383 (defun electric-verilog-forward-sexp ()
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
2384 "Move forward over one balanced expression."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2385 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2386 ;; before that see if we are in a comment
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2387 (verilog-forward-sexp))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2388
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2389 ;;;used by hs-minor-mode
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2390 (defun verilog-forward-sexp-function (arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2391 (if (< arg 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2392 (verilog-backward-sexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2393 (verilog-forward-sexp)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2394
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2395
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2396 (defun verilog-backward-sexp ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2397 (let ((reg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2398 (elsec 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2399 (found nil)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2400 (st (point)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2401 (if (not (looking-at "\\<"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2402 (forward-word -1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2403 (cond
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2404 ((verilog-skip-backward-comment-or-string))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2405 ((looking-at "\\<else\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2406 (setq reg (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2407 verilog-end-block-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2408 "\\|\\(\\<else\\>\\)"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2409 "\\|\\(\\<if\\>\\)"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2410 (while (and (not found)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2411 (verilog-re-search-backward reg nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2412 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2413 ((match-end 1) ; matched verilog-end-block-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2414 ; try to leap back to matching outward block by striding across
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2415 ; indent level changing tokens then immediately
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2416 ; previous line governs indentation.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2417 (verilog-leap-to-head))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2418 ((match-end 2) ; else, we're in deep
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2419 (setq elsec (1+ elsec)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2420 ((match-end 3) ; found it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2421 (setq elsec (1- elsec))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2422 (if (= 0 elsec)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2423 ;; Now previous line describes syntax
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2424 (setq found 't))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2425 ((looking-at verilog-end-block-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2426 (verilog-leap-to-head))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2427 ((looking-at "\\(endmodule\\>\\)\\|\\(\\<endprimitive\\>\\)\\|\\(\\<endclass\\>\\)\\|\\(\\<endprogram\\>\\)\\|\\(\\<endinterface\\>\\)\\|\\(\\<endpackage\\>\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2428 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2429 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2430 (verilog-re-search-backward "\\<\\(macro\\)?module\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2431 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2432 (verilog-re-search-backward "\\<primitive\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2433 ((match-end 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2434 (verilog-re-search-backward "\\<class\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2435 ((match-end 4)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2436 (verilog-re-search-backward "\\<program\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2437 ((match-end 5)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2438 (verilog-re-search-backward "\\<interface\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2439 ((match-end 6)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2440 (verilog-re-search-backward "\\<package\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2441 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2442 (goto-char st)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2443 (backward-sexp 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2444 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2445 (goto-char st)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2446 (backward-sexp)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2447
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2448 (defun verilog-forward-sexp ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2449 (let ((reg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2450 (md 2)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2451 (st (point))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2452 (nest 'yes))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2453 (if (not (looking-at "\\<"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2454 (forward-word -1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2455 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2456 ((verilog-skip-forward-comment-or-string)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2457 (verilog-forward-syntactic-ws))
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2458 ((looking-at verilog-beg-block-re-ordered)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2459 (cond
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2460 ((match-end 1);
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2461 ;; Search forward for matching end
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2462 (setq reg "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)" ))
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2463 ((match-end 2)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2464 ;; Search forward for matching endcase
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2465 (setq reg "\\(\\<randcase\\>\\|\\(\\<unique\\>\\s-+\\|\\<priority\\>\\s-+\\)?\\<case[xz]?\\>[^:]\\)\\|\\(\\<endcase\\>\\)" )
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2466 (setq md 3) ;; ender is third item in regexp
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2467 )
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2468 ((match-end 4)
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2469 ;; might be "disable fork"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2470 (if (or
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2471 (looking-at verilog-disable-fork-re)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2472 (and (looking-at "fork")
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2473 (progn
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2474 (forward-word -1)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2475 (looking-at verilog-disable-fork-re))))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2476 (progn
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2477 (goto-char (match-end 0))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2478 (forward-word 1)
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2479 (setq reg nil))
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2480 (progn
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2481 ;; Search forward for matching join
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2482 (setq reg "\\(\\<fork\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)" ))))
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2483 ((match-end 6)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2484 ;; Search forward for matching endclass
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2485 (setq reg "\\(\\<class\\>\\)\\|\\(\\<endclass\\>\\)" ))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2486
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2487 ((match-end 7)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2488 ;; Search forward for matching endtable
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2489 (setq reg "\\<endtable\\>" )
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2490 (setq nest 'no))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2491 ((match-end 8)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2492 ;; Search forward for matching endspecify
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2493 (setq reg "\\(\\<specify\\>\\)\\|\\(\\<endspecify\\>\\)" ))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2494 ((match-end 9)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2495 ;; Search forward for matching endfunction
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2496 (setq reg "\\<endfunction\\>" )
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2497 (setq nest 'no))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2498 ((match-end 10)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2499 ;; Search forward for matching endfunction
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2500 (setq reg "\\<endfunction\\>" )
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2501 (setq nest 'no))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2502 ((match-end 14)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2503 ;; Search forward for matching endtask
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2504 (setq reg "\\<endtask\\>" )
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2505 (setq nest 'no))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2506 ((match-end 15)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2507 ;; Search forward for matching endtask
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2508 (setq reg "\\<endtask\\>" )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2509 (setq nest 'no))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2510 ((match-end 19)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2511 ;; Search forward for matching endgenerate
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2512 (setq reg "\\(\\<generate\\>\\)\\|\\(\\<endgenerate\\>\\)" ))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2513 ((match-end 20)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2514 ;; Search forward for matching endgroup
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2515 (setq reg "\\(\\<covergroup\\>\\)\\|\\(\\<endgroup\\>\\)" ))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2516 ((match-end 21)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2517 ;; Search forward for matching endproperty
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2518 (setq reg "\\(\\<property\\>\\)\\|\\(\\<endproperty\\>\\)" ))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2519 ((match-end 25)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2520 ;; Search forward for matching endsequence
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2521 (setq reg "\\(\\<\\(rand\\)?sequence\\>\\)\\|\\(\\<endsequence\\>\\)" )
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2522 (setq md 3)) ; 3 to get to endsequence in the reg above
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2523 ((match-end 27)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2524 ;; Search forward for matching endclocking
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2525 (setq reg "\\(\\<clocking\\>\\)\\|\\(\\<endclocking\\>\\)" )))
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2526 (if (and reg
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
2527 (forward-word 1))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2528 (catch 'skip
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2529 (if (eq nest 'yes)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2530 (let ((depth 1))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2531 (while (verilog-re-search-forward reg nil 'move)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2532 (cond
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2533 ((match-end md) ; the closer in reg, so we are climbing out
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2534 (setq depth (1- depth))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2535 (if (= 0 depth) ; we are out!
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2536 (throw 'skip 1)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2537 ((match-end 1) ; the opener in reg, so we are deeper now
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2538 (setq depth (1+ depth))))))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2539 (if (verilog-re-search-forward reg nil 'move)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
2540 (throw 'skip 1))))))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2541
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2542 ((looking-at (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2543 "\\(\\<\\(macro\\)?module\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2544 "\\(\\<primitive\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2545 "\\(\\<class\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2546 "\\(\\<program\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2547 "\\(\\<interface\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2548 "\\(\\<package\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2549 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2550 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2551 (verilog-re-search-forward "\\<endmodule\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2552 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2553 (verilog-re-search-forward "\\<endprimitive\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2554 ((match-end 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2555 (verilog-re-search-forward "\\<endclass\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2556 ((match-end 4)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2557 (verilog-re-search-forward "\\<endprogram\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2558 ((match-end 5)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2559 (verilog-re-search-forward "\\<endinterface\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2560 ((match-end 6)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2561 (verilog-re-search-forward "\\<endpackage\\>" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2562 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2563 (goto-char st)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2564 (if (= (following-char) ?\) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2565 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2566 (forward-sexp 1)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2567 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2568 (goto-char st)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2569 (if (= (following-char) ?\) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2570 (forward-char 1)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2571 (forward-sexp 1))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2572
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2573 (defun verilog-declaration-beg ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2574 (verilog-re-search-backward verilog-declaration-re (bobp) t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2575
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2576 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2577 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2578 ;; Mode
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2579 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2580 (defvar verilog-which-tool 1)
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
2581 ;;;###autoload
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2582 (defun verilog-mode ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2583 "Major mode for editing Verilog code.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2584 \\<verilog-mode-map>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2585 See \\[describe-function] verilog-auto (\\[verilog-auto]) for details on how
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2586 AUTOs can improve coding efficiency.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2587
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2588 Use \\[verilog-faq] for a pointer to frequently asked questions.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2589
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2590 NEWLINE, TAB indents for Verilog code.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2591 Delete converts tabs to spaces as it moves back.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2592
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2593 Supports highlighting.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2594
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2595 Turning on Verilog mode calls the value of the variable `verilog-mode-hook'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2596 with no args, if that value is non-nil.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2597
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2598 Variables controlling indentation/edit style:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2599
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2600 variable `verilog-indent-level' (default 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2601 Indentation of Verilog statements with respect to containing block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2602 `verilog-indent-level-module' (default 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2603 Absolute indentation of Module level Verilog statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2604 Set to 0 to get initial and always statements lined up
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2605 on the left side of your screen.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2606 `verilog-indent-level-declaration' (default 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2607 Indentation of declarations with respect to containing block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2608 Set to 0 to get them list right under containing block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2609 `verilog-indent-level-behavioral' (default 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2610 Indentation of first begin in a task or function block
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2611 Set to 0 to get such code to lined up underneath the task or
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2612 function keyword.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2613 `verilog-indent-level-directive' (default 1)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2614 Indentation of `ifdef/`endif blocks.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2615 `verilog-cexp-indent' (default 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2616 Indentation of Verilog statements broken across lines i.e.:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2617 if (a)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2618 begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2619 `verilog-case-indent' (default 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2620 Indentation for case statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2621 `verilog-auto-newline' (default nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2622 Non-nil means automatically newline after semicolons and the punctuation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2623 mark after an end.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2624 `verilog-auto-indent-on-newline' (default t)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2625 Non-nil means automatically indent line after newline.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2626 `verilog-tab-always-indent' (default t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2627 Non-nil means TAB in Verilog mode should always reindent the current line,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2628 regardless of where in the line point is when the TAB command is used.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2629 `verilog-indent-begin-after-if' (default t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2630 Non-nil means to indent begin statements following a preceding
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2631 if, else, while, for and repeat statements, if any. Otherwise,
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2632 the begin is lined up with the preceding token. If t, you get:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2633 if (a)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2634 begin // amount of indent based on `verilog-cexp-indent'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2635 otherwise you get:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2636 if (a)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2637 begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2638 `verilog-auto-endcomments' (default t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2639 Non-nil means a comment /* ... */ is set after the ends which ends
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2640 cases, tasks, functions and modules.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2641 The type and name of the object will be set between the braces.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2642 `verilog-minimum-comment-distance' (default 10)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2643 Minimum distance (in lines) between begin and end required before a comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2644 will be inserted. Setting this variable to zero results in every
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2645 end acquiring a comment; the default avoids too many redundant
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2646 comments in tight quarters.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2647 `verilog-auto-lineup' (default 'declarations)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2648 List of contexts where auto lineup of code should be done.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2649
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2650 Variables controlling other actions:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2651
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2652 `verilog-linter' (default surelint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2653 Unix program to call to run the lint checker. This is the default
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2654 command for \\[compile-command] and \\[verilog-auto-save-compile].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2655
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2656 See \\[customize] for the complete list of variables.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2657
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2658 AUTO expansion functions are, in part:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2659
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2660 \\[verilog-auto] Expand AUTO statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2661 \\[verilog-delete-auto] Remove the AUTOs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2662 \\[verilog-inject-auto] Insert AUTOs for the first time.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2663
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2664 Some other functions are:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2665
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2666 \\[verilog-complete-word] Complete word with appropriate possibilities.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2667 \\[verilog-mark-defun] Mark function.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2668 \\[verilog-beg-of-defun] Move to beginning of current function.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2669 \\[verilog-end-of-defun] Move to end of current function.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2670 \\[verilog-label-be] Label matching begin ... end, fork ... join, etc statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2671
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2672 \\[verilog-comment-region] Put marked area in a comment.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2673 \\[verilog-uncomment-region] Uncomment an area commented with \\[verilog-comment-region].
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
2674 \\[verilog-insert-block] Insert begin ... end.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2675 \\[verilog-star-comment] Insert /* ... */.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2676
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
2677 \\[verilog-sk-always] Insert an always @(AS) begin .. end block.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2678 \\[verilog-sk-begin] Insert a begin .. end block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2679 \\[verilog-sk-case] Insert a case block, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2680 \\[verilog-sk-for] Insert a for (...) begin .. end block, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2681 \\[verilog-sk-generate] Insert a generate .. endgenerate block.
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
2682 \\[verilog-sk-header] Insert a header block at the top of file.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2683 \\[verilog-sk-initial] Insert an initial begin .. end block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2684 \\[verilog-sk-fork] Insert a fork begin .. end .. join block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2685 \\[verilog-sk-module] Insert a module .. (/*AUTOARG*/);.. endmodule block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2686 \\[verilog-sk-primitive] Insert a primitive .. (.. );.. endprimitive block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2687 \\[verilog-sk-repeat] Insert a repeat (..) begin .. end block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2688 \\[verilog-sk-specify] Insert a specify .. endspecify block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2689 \\[verilog-sk-task] Insert a task .. begin .. end endtask block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2690 \\[verilog-sk-while] Insert a while (...) begin .. end block, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2691 \\[verilog-sk-casex] Insert a casex (...) item: begin.. end endcase block, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2692 \\[verilog-sk-casez] Insert a casez (...) item: begin.. end endcase block, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2693 \\[verilog-sk-if] Insert an if (..) begin .. end block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2694 \\[verilog-sk-else-if] Insert an else if (..) begin .. end block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2695 \\[verilog-sk-comment] Insert a comment block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2696 \\[verilog-sk-assign] Insert an assign .. = ..; statement.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2697 \\[verilog-sk-function] Insert a function .. begin .. end endfunction block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2698 \\[verilog-sk-input] Insert an input declaration, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2699 \\[verilog-sk-output] Insert an output declaration, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2700 \\[verilog-sk-state-machine] Insert a state machine definition, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2701 \\[verilog-sk-inout] Insert an inout declaration, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2702 \\[verilog-sk-wire] Insert a wire declaration, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2703 \\[verilog-sk-reg] Insert a register declaration, prompting for details.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2704 \\[verilog-sk-define-signal] Define signal under point as a register at the top of the module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2705
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2706 All key bindings can be seen in a Verilog-buffer with \\[describe-bindings].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2707 Key bindings specific to `verilog-mode-map' are:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2708
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2709 \\{verilog-mode-map}"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2710 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2711 (kill-all-local-variables)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2712 (use-local-map verilog-mode-map)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2713 (setq major-mode 'verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2714 (setq mode-name "Verilog")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2715 (setq local-abbrev-table verilog-mode-abbrev-table)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2716 (set (make-local-variable 'beginning-of-defun-function)
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
2717 'verilog-beg-of-defun)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2718 (set (make-local-variable 'end-of-defun-function)
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
2719 'verilog-end-of-defun)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2720 (set-syntax-table verilog-mode-syntax-table)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2721 (make-local-variable 'indent-line-function)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2722 (setq indent-line-function 'verilog-indent-line-relative)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2723 (setq comment-indent-function 'verilog-comment-indent)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2724 (make-local-variable 'parse-sexp-ignore-comments)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2725 (setq parse-sexp-ignore-comments nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2726 (make-local-variable 'comment-start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2727 (make-local-variable 'comment-end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2728 (make-local-variable 'comment-multi-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2729 (make-local-variable 'comment-start-skip)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2730 (setq comment-start "// "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2731 comment-end ""
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2732 comment-start-skip "/\\*+ *\\|// *"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2733 comment-multi-line nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2734 ;; Set up for compilation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2735 (setq verilog-which-tool 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2736 (setq verilog-tool 'verilog-linter)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2737 (verilog-set-compile-command)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2738 (when (boundp 'hack-local-variables-hook) ;; Also modify any file-local-variables
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2739 (add-hook 'hack-local-variables-hook 'verilog-modify-compile-command t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2740
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2741 ;; Setting up menus
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
2742 (when (featurep 'xemacs)
80172
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2743 (easy-menu-add verilog-stmt-menu)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2744 (easy-menu-add verilog-menu)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
2745 (setq mode-popup-menu (cons "Verilog Mode" verilog-stmt-menu)))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2746
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
2747 ;; Stuff for GNU Emacs
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2748 (set (make-local-variable 'font-lock-defaults)
101958
e2dc5f14229e (verilog-mode): Avoid circular use of syntax-ppss.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 101002
diff changeset
2749 `((verilog-font-lock-keywords verilog-font-lock-keywords-1
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2750 verilog-font-lock-keywords-2
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2751 verilog-font-lock-keywords-3)
101958
e2dc5f14229e (verilog-mode): Avoid circular use of syntax-ppss.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 101002
diff changeset
2752 nil nil nil
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2753 ,(if (functionp 'syntax-ppss)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2754 ;; verilog-beg-of-defun uses syntax-ppss, and syntax-ppss uses
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2755 ;; font-lock-beginning-of-syntax-function, so
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2756 ;; font-lock-beginning-of-syntax-function, can't use
101958
e2dc5f14229e (verilog-mode): Avoid circular use of syntax-ppss.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 101002
diff changeset
2757 ;; verilog-beg-of-defun.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2758 nil
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2759 'verilog-beg-of-defun)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2760 ;;------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2761 ;; now hook in 'verilog-colorize-include-files (eldo-mode.el&spice-mode.el)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2762 ;; all buffer local:
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2763 (when (featurep 'xemacs)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2764 (make-local-hook 'font-lock-mode-hook)
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
2765 (make-local-hook 'font-lock-after-fontify-buffer-hook); doesn't exist in Emacs
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2766 (make-local-hook 'after-change-functions))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2767 (add-hook 'font-lock-mode-hook 'verilog-colorize-include-files-buffer t t)
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
2768 (add-hook 'font-lock-after-fontify-buffer-hook 'verilog-colorize-include-files-buffer t t) ; not in Emacs
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2769 (add-hook 'after-change-functions 'verilog-colorize-include-files t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2770
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
2771 ;; Tell imenu how to handle Verilog.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2772 (make-local-variable 'imenu-generic-expression)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2773 (setq imenu-generic-expression verilog-imenu-generic-expression)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2774 ;; Tell which-func-modes that imenu knows about verilog
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2775 (when (boundp 'which-function-modes)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2776 (add-to-list 'which-func-modes 'verilog-mode))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2777 ;; hideshow support
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2778 (when (boundp 'hs-special-modes-alist)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2779 (unless (assq 'verilog-mode hs-special-modes-alist)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2780 (setq hs-special-modes-alist
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2781 (cons '(verilog-mode-mode "\\<begin\\>" "\\<end\\>" nil
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2782 verilog-forward-sexp-function)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
2783 hs-special-modes-alist))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2784
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2785 ;; Stuff for autos
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2786 (add-hook 'write-contents-hooks 'verilog-auto-save-check) ; already local
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2787 (run-hooks 'verilog-mode-hook))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2788
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2789
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2790 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2791 ;; Electric functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2792 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2793 (defun electric-verilog-terminate-line (&optional arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2794 "Terminate line and indent next line.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2795 With optional ARG, remove existing end of line comments."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2796 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2797 ;; before that see if we are in a comment
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
2798 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2799 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2800 ((nth 7 state) ; Inside // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2801 (if (eolp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2802 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2803 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2804 (newline))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2805 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2806 (newline)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2807 (insert "// ")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2808 (beginning-of-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2809 (verilog-indent-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2810 ((nth 4 state) ; Inside any comment (hence /**/)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2811 (newline)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2812 (verilog-more-comment))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2813 ((eolp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2814 ;; First, check if current line should be indented
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2815 (if (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2816 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2817 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2818 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2819 (if (looking-at verilog-auto-end-comment-lines-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2820 (let ((indent-str (verilog-indent-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2821 ;; Maybe we should set some endcomments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2822 (if verilog-auto-endcomments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2823 (verilog-set-auto-endcomments indent-str arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2824 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2825 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2826 (if arg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2827 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2828 (newline))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2829 nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2830 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2831 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2832 (delete-horizontal-space)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2833 't)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2834 ;; see if we should line up assignments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2835 (progn
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2836 (if (or (eq 'all verilog-auto-lineup)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2837 (eq 'assignments verilog-auto-lineup))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2838 (verilog-pretty-expr t "\\(<\\|:\\)?=" ))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2839 (newline))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2840 (forward-line 1))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2841 ;; Indent next line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2842 (if verilog-auto-indent-on-newline
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2843 (verilog-indent-line)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2844 (t
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2845 (newline)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2846
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2847 (defun electric-verilog-terminate-and-indent ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2848 "Insert a newline and indent for the next statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2849 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2850 (electric-verilog-terminate-line 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2851
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2852 (defun electric-verilog-semi ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2853 "Insert `;' character and reindent the line."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2854 (interactive)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2855 (verilog-insert-last-command-event)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2856
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2857 (if (or (verilog-in-comment-or-string-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2858 (verilog-in-escaped-name-p))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2859 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2860 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2861 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2862 (verilog-forward-ws&directives)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2863 (verilog-indent-line))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2864 (if (and verilog-auto-newline
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2865 (not (verilog-parenthesis-depth)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2866 (electric-verilog-terminate-line))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2867
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2868 (defun electric-verilog-semi-with-comment ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2869 "Insert `;' character, reindent the line and indent for comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2870 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2871 (insert "\;")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2872 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2873 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2874 (verilog-indent-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2875 (indent-for-comment))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2876
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2877 (defun electric-verilog-colon ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2878 "Insert `:' and do all indentations except line indent on this line."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2879 (interactive)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2880 (verilog-insert-last-command-event)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2881 ;; Do nothing if within string.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2882 (if (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2883 (verilog-within-string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2884 (not (verilog-in-case-region-p)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2885 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2886 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2887 (let ((p (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2888 (lim (progn (verilog-beg-of-statement) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2889 (goto-char p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2890 (verilog-backward-case-item lim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2891 (verilog-indent-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2892 ;; (let ((verilog-tab-always-indent nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2893 ;; (verilog-indent-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2894 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2895
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2896 ;;(defun electric-verilog-equal ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2897 ;; "Insert `=', and do indentation if within block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2898 ;; (interactive)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2899 ;; (verilog-insert-last-command-event)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2900 ;; Could auto line up expressions, but not yet
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2901 ;; (if (eq (car (verilog-calculate-indent)) 'block)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2902 ;; (let ((verilog-tab-always-indent nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2903 ;; (verilog-indent-command)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2904 ;; )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2905
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2906 (defun electric-verilog-tick ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2907 "Insert back-tick, and indent to column 0 if this is a CPP directive."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2908 (interactive)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2909 (verilog-insert-last-command-event)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2910 (save-excursion
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2911 (if (verilog-in-directive-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2912 (verilog-indent-line))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2913
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2914 (defun electric-verilog-tab ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2915 "Function called when TAB is pressed in Verilog mode."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2916 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2917 ;; If verilog-tab-always-indent, indent the beginning of the line.
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2918 (cond
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2919 ;; The region is active, indent it.
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2920 ((and (region-active-p)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2921 (not (eq (region-beginning) (region-end))))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2922 (indent-region (region-beginning) (region-end) nil))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2923 ((or verilog-tab-always-indent
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2924 (save-excursion
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2925 (skip-chars-backward " \t")
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2926 (bolp)))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2927 (let* ((oldpnt (point))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2928 (boi-point
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2929 (save-excursion
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2930 (beginning-of-line)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2931 (skip-chars-forward " \t")
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2932 (verilog-indent-line)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2933 (back-to-indentation)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2934 (point))))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2935 (if (< (point) boi-point)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2936 (back-to-indentation)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2937 (cond ((not verilog-tab-to-comment))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2938 ((not (eolp))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2939 (end-of-line))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2940 (t
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2941 (indent-for-comment)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2942 (when (and (eolp) (= oldpnt (point)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2943 ; kill existing comment
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2944 (beginning-of-line)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2945 (re-search-forward comment-start-skip oldpnt 'move)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2946 (goto-char (match-beginning 0))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2947 (skip-chars-backward " \t")
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2948 (kill-region (point) oldpnt)))))))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
2949 (t (progn (insert "\t")))))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
2950
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2951
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2952
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2953 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2954 ;; Interactive functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2955 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2956
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2957 (defun verilog-indent-buffer ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2958 "Indent-region the entire buffer as Verilog code.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2959 To call this from the command line, see \\[verilog-batch-indent]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2960 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2961 (verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2962 (indent-region (point-min) (point-max) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2963
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2964 (defun verilog-insert-block ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2965 "Insert Verilog begin ... end; block in the code with right indentation."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2966 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2967 (verilog-indent-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2968 (insert "begin")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2969 (electric-verilog-terminate-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2970 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2971 (electric-verilog-terminate-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2972 (insert "end")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2973 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2974 (verilog-indent-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2975
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2976 (defun verilog-star-comment ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2977 "Insert Verilog star comment at point."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2978 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2979 (verilog-indent-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2980 (insert "/*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2981 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2982 (newline)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2983 (insert " */"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2984 (newline)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2985 (insert " * "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
2986
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2987 (defun verilog-insert-1 (fmt max)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
2988 "Use format string FMT to insert integers 0 to MAX - 1.
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2989 Inserts one integer per line, at the current column. Stops early
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2990 if it reaches the end of the buffer."
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2991 (let ((col (current-column))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2992 (n 0))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2993 (save-excursion
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2994 (while (< n max)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2995 (insert (format fmt n))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2996 (forward-line 1)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2997 ;; Note that this function does not bother to check for lines
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2998 ;; shorter than col.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
2999 (if (eobp)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3000 (setq n max)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3001 (setq n (1+ n))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3002 (move-to-column col))))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3003
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3004 (defun verilog-insert-indices (max)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3005 "Insert a set of indices into a rectangle.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3006 The upper left corner is defined by point. Indices begin with 0
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3007 and extend to the MAX - 1. If no prefix arg is given, the user
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3008 is prompted for a value. The indices are surrounded by square
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3009 brackets \[]. For example, the following code with the point
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3010 located after the first 'a' gives:
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3011
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3012 a = b a[ 0] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3013 a = b a[ 1] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3014 a = b a[ 2] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3015 a = b a[ 3] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3016 a = b ==> insert-indices ==> a[ 4] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3017 a = b a[ 5] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3018 a = b a[ 6] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3019 a = b a[ 7] = b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3020 a = b a[ 8] = b"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3021
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3022 (interactive "NMAX: ")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3023 (verilog-insert-1 "[%3d]" max))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3024
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3025 (defun verilog-generate-numbers (max)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3026 "Insert a set of generated numbers into a rectangle.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3027 The upper left corner is defined by point. The numbers are padded to three
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3028 digits, starting with 000 and extending to (MAX - 1). If no prefix argument
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3029 is supplied, then the user is prompted for the MAX number. Consider the
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3030 following code fragment:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3031
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3032 buf buf buf buf000
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3033 buf buf buf buf001
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3034 buf buf buf buf002
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3035 buf buf buf buf003
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3036 buf buf ==> generate-numbers ==> buf buf004
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3037 buf buf buf buf005
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3038 buf buf buf buf006
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3039 buf buf buf buf007
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3040 buf buf buf buf008"
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3041
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3042 (interactive "NMAX: ")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3043 (verilog-insert-1 "%3.3d" max))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3044
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3045 (defun verilog-mark-defun ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3046 "Mark the current Verilog function (or procedure).
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3047 This puts the mark at the end, and point at the beginning."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3048 (interactive)
80172
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3049 (if (featurep 'xemacs)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3050 (progn
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3051 (push-mark (point))
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3052 (verilog-end-of-defun)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3053 (push-mark (point))
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3054 (verilog-beg-of-defun)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3055 (if (fboundp 'zmacs-activate-region)
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3056 (zmacs-activate-region)))
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
3057 (mark-defun)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3058
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3059 (defun verilog-comment-region (start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3060 ; checkdoc-params: (start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3061 "Put the region into a Verilog comment.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3062 The comments that are in this area are \"deformed\":
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3063 `*)' becomes `!(*' and `}' becomes `!{'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3064 These deformed comments are returned to normal if you use
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3065 \\[verilog-uncomment-region] to undo the commenting.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3066
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3067 The commented area starts with `verilog-exclude-str-start', and ends with
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3068 `verilog-exclude-str-end'. But if you change these variables,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3069 \\[verilog-uncomment-region] won't recognize the comments."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3070 (interactive "r")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3071 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3072 ;; Insert start and endcomments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3073 (goto-char end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3074 (if (and (save-excursion (skip-chars-forward " \t") (eolp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3075 (not (save-excursion (skip-chars-backward " \t") (bolp))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3076 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3077 (beginning-of-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3078 (insert verilog-exclude-str-end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3079 (setq end (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3080 (newline)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3081 (goto-char start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3082 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3083 (insert verilog-exclude-str-start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3084 (newline)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3085 ;; Replace end-comments within commented area
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3086 (goto-char end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3087 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3088 (while (re-search-backward "\\*/" start t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3089 (replace-match "*-/" t t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3090 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3091 (let ((s+1 (1+ start)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3092 (while (re-search-backward "/\\*" s+1 t)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3093 (replace-match "/-*" t t))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3094
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3095 (defun verilog-uncomment-region ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3096 "Uncomment a commented area; change deformed comments back to normal.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3097 This command does nothing if the pointer is not in a commented
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3098 area. See also `verilog-comment-region'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3099 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3100 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3101 (let ((start (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3102 (end (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3103 ;; Find the boundaries of the comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3104 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3105 (setq start (progn (search-backward verilog-exclude-str-start nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3106 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3107 (setq end (progn (search-forward verilog-exclude-str-end nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3108 (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3109 ;; Check if we're really inside a comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3110 (if (or (equal start (point)) (<= end (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3111 (message "Not standing within commented area.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3112 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3113 ;; Remove endcomment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3114 (goto-char end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3115 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3116 (let ((pos (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3117 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3118 (delete-region pos (1+ (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3119 ;; Change comments back to normal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3120 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3121 (while (re-search-backward "\\*-/" start t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3122 (replace-match "*/" t t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3123 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3124 (while (re-search-backward "/-\\*" start t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3125 (replace-match "/*" t t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3126 ;; Remove start comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3127 (goto-char start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3128 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3129 (let ((pos (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3130 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3131 (delete-region pos (1+ (point)))))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3132
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3133 (defun verilog-beg-of-defun ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3134 "Move backward to the beginning of the current function or procedure."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3135 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3136 (verilog-re-search-backward verilog-defun-re nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3137
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3138 (defun verilog-end-of-defun ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3139 "Move forward to the end of the current function or procedure."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3140 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3141 (verilog-re-search-forward verilog-end-defun-re nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3142
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3143 (defun verilog-get-beg-of-defun (&optional warn)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3144 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3145 (cond ((verilog-re-search-forward-quick verilog-defun-re nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3146 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3147 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3148 (error "%s: Can't find module beginning" (verilog-point-text))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3149 (point-max)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3150 (defun verilog-get-end-of-defun (&optional warn)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3151 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3152 (cond ((verilog-re-search-forward-quick verilog-end-defun-re nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3153 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3154 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3155 (error "%s: Can't find endmodule" (verilog-point-text))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3156 (point-max)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3157
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3158 (defun verilog-label-be (&optional arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3159 "Label matching begin ... end, fork ... join and case ... endcase statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3160 With ARG, first kill any existing labels."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3161 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3162 (let ((cnt 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3163 (oldpos (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3164 (b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3165 (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3166 (point-marker)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3167 (e (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3168 (verilog-end-of-defun)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3169 (point-marker))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3170 (goto-char (marker-position b))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3171 (if (> (- e b) 200)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3172 (message "Relabeling module..."))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3173 (while (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3174 (> (marker-position e) (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3175 (verilog-re-search-forward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3176 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3177 "\\<end\\(\\(function\\)\\|\\(task\\)\\|\\(module\\)\\|\\(primitive\\)\\|\\(interface\\)\\|\\(package\\)\\|\\(case\\)\\)?\\>"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3178 "\\|\\(`endif\\)\\|\\(`else\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3179 nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3180 (goto-char (match-beginning 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3181 (let ((indent-str (verilog-indent-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3182 (verilog-set-auto-endcomments indent-str 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3183 (end-of-line)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3184 (delete-horizontal-space))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3185 (setq cnt (1+ cnt))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3186 (if (= 9 (% cnt 10))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3187 (message "%d..." cnt)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3188 (goto-char oldpos)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3189 (if (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3190 (> (- e b) 200)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3191 (> cnt 20))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3192 (message "%d lines auto commented" cnt))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3193
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3194 (defun verilog-beg-of-statement ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3195 "Move backward to beginning of statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3196 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3197 ;; Move back token by token until we see the end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3198 ;; of some ealier line.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3199 (while
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3200 ;; If the current point does not begin a new
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3201 ;; statement, as in the character ahead of us is a ';', or SOF
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3202 ;; or the string after us unambiguosly starts a statement,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3203 ;; or the token before us unambiguously ends a statement,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3204 ;; then move back a token and test again.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3205 (not (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3206 (bolp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3207 (= (preceding-char) ?\;)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3208 (looking-at "\\w+\\W*:\\W*\\(coverpoint\\|cross\\|constraint\\)")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3209 (not (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3210 (looking-at "\\<")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3211 (forward-word -1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3212 (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3213 (looking-at verilog-extended-complete-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3214 (not (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3215 (verilog-backward-token)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3216 (looking-at verilog-extended-complete-re))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3217 (looking-at verilog-basic-complete-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3218 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3219 (verilog-backward-token)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3220 (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3221 (looking-at verilog-end-block-re)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3222 (looking-at verilog-preprocessor-re)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3223 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3224 (verilog-backward-token))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3225 ;; Now point is where the previous line ended.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3226 (verilog-forward-syntactic-ws))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3227
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3228 (defun verilog-beg-of-statement-1 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3229 "Move backward to beginning of statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3230 (interactive)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3231 (if (verilog-in-comment-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3232 (verilog-backward-syntactic-ws))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3233 (let ((pt (point)))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3234 (catch 'done
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3235 (while (not (looking-at verilog-complete-reg))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3236 (setq pt (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3237 (verilog-backward-syntactic-ws)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3238 (if (or (bolp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3239 (= (preceding-char) ?\;))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3240 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3241 (goto-char pt)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3242 (throw 'done t))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3243 (verilog-backward-token))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3244 (verilog-forward-syntactic-ws)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3245 ;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3246 ; (while (and
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3247 ; (not (looking-at verilog-complete-reg))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3248 ; (not (bolp))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3249 ; (not (= (preceding-char) ?\;)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3250 ; (verilog-backward-token)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3251 ; (verilog-backward-syntactic-ws)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3252 ; (setq pt (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3253 ; (goto-char pt)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3254 ; ;(verilog-forward-syntactic-ws)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3255
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3256 (defun verilog-end-of-statement ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3257 "Move forward to end of current statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3258 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3259 (let ((nest 0) pos)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3260 (cond
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3261 ((verilog-in-directive-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3262 (forward-line 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3263 (backward-char 1))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3264
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3265 ((looking-at verilog-beg-block-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3266 (verilog-forward-sexp))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3267
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3268 ((equal (char-after) ?\})
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3269 (forward-char))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3270
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3271 ;; Skip to end of statement
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3272 ((condition-case nil
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3273 (setq pos
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3274 (catch 'found
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3275 (while t
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3276 (forward-sexp 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3277 (verilog-skip-forward-comment-or-string)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3278 (if (eolp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3279 (forward-line 1))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3280 (cond ((looking-at "[ \t]*;")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3281 (skip-chars-forward "^;")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3282 (forward-char 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3283 (throw 'found (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3284 ((save-excursion
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3285 (forward-sexp -1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3286 (looking-at verilog-beg-block-re))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3287 (goto-char (match-beginning 0))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3288 (throw 'found nil))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3289 ((looking-at "[ \t]*)")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3290 (throw 'found (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3291 ((eobp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3292 (throw 'found (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3293 )))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3294
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3295 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3296 (error nil))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3297 (if (not pos)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3298 ;; Skip a whole block
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3299 (catch 'found
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3300 (while t
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3301 (verilog-re-search-forward verilog-end-statement-re nil 'move)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3302 (setq nest (if (match-end 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3303 (1+ nest)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3304 (1- nest)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3305 (cond ((eobp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3306 (throw 'found (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3307 ((= 0 nest)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3308 (throw 'found (verilog-end-of-statement))))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3309 pos)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3310
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3311 (defun verilog-in-case-region-p ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3312 "Return true if in a case region.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3313 More specifically, point @ in the line foo : @ begin"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3314 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3315 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3316 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3317 (progn (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3318 (looking-at "\\<begin\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3319 (progn (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3320 (= (preceding-char) ?\:)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3321 (catch 'found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3322 (let ((nest 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3323 (while t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3324 (verilog-re-search-backward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3325 (concat "\\(\\<module\\>\\)\\|\\(\\<randcase\\>\\|\\<case[xz]?\\>[^:]\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3326 "\\(\\<endcase\\>\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3327 nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3328 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3329 ((match-end 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3330 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3331 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3332 (if (= nest 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3333 (throw 'found 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3334 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3335 (t
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3336 (throw 'found (= nest 0)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3337 nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3338 (defun verilog-in-struct-region-p ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3339 "Return true if in a struct region.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3340 More specifically, in a list after a struct|union keyword."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3341 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3342 (save-excursion
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
3343 (let* ((state (verilog-syntax-ppss))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3344 (depth (nth 0 state)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3345 (if depth
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3346 (progn (backward-up-list depth)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3347 (verilog-beg-of-statement)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3348 (looking-at "\\<typedef\\>?\\s-*\\<struct\\|union\\>"))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3349
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3350 (defun verilog-in-generate-region-p ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3351 "Return true if in a generate region.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3352 More specifically, after a generate and before an endgenerate."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3353 (interactive)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3354 (let ((nest 1))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3355 (save-excursion
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3356 (catch 'done
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3357 (while (and
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3358 (/= nest 0)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3359 (verilog-re-search-backward
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3360 "\\<\\(module\\)\\|\\(generate\\)\\|\\(endgenerate\\)\\>" nil 'move)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3361 (cond
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3362 ((match-end 1) ; module - we have crawled out
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3363 (throw 'done 1))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3364 ((match-end 2) ; generate
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3365 (setq nest (1- nest)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3366 ((match-end 3) ; endgenerate
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3367 (setq nest (1+ nest))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3368 (= nest 0) )) ; return nest
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3369
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3370 (defun verilog-in-fork-region-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3371 "Return true if between a fork and join."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3372 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3373 (let ((lim (save-excursion (verilog-beg-of-defun) (point)))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3374 (nest 1))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3375 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3376 (while (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3377 (/= nest 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3378 (verilog-re-search-backward "\\<\\(fork\\)\\|\\(join\\(_any\\|_none\\)?\\)\\>" lim 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3379 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3380 ((match-end 1) ; fork
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3381 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3382 ((match-end 2) ; join
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3383 (setq nest (1+ nest)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3384 (= nest 0) )) ; return nest
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3385
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3386 (defun verilog-backward-case-item (lim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3387 "Skip backward to nearest enclosing case item.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3388 Limit search to point LIM."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3389 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3390 (let ((str 'nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3391 (lim1
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3392 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3393 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3394 (verilog-re-search-backward verilog-endcomment-reason-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3395 lim 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3396 (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3397 ;; Try to find the real :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3398 (if (save-excursion (search-backward ":" lim1 t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3399 (let ((colon 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3400 b e )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3401 (while
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3402 (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3403 (< colon 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3404 (verilog-re-search-backward "\\(\\[\\)\\|\\(\\]\\)\\|\\(:\\)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3405 lim1 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3406 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3407 ((match-end 1) ;; [
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3408 (setq colon (1+ colon))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3409 (if (>= colon 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3410 (error "%s: unbalanced [" (verilog-point-text))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3411 ((match-end 2) ;; ]
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3412 (setq colon (1- colon)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3413
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3414 ((match-end 3) ;; :
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3415 (setq colon (1+ colon)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3416 ;; Skip back to beginning of case item
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3417 (skip-chars-backward "\t ")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3418 (verilog-skip-backward-comment-or-string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3419 (setq e (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3420 (setq b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3421 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3422 (if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3423 (verilog-re-search-backward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3424 "\\<\\(case[zx]?\\)\\>\\|;\\|\\<end\\>" nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3425 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3426 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3427 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3428 (goto-char (match-end 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3429 (verilog-forward-ws&directives)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3430 (if (looking-at "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3431 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3432 (forward-sexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3433 (verilog-forward-ws&directives)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3434 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3435 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3436 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3437 (verilog-forward-ws&directives)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3438 (point))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3439 (error "Malformed case item"))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3440 (setq str (buffer-substring b e))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3441 (if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3442 (setq e
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3443 (string-match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3444 "[ \t]*\\(\\(\n\\)\\|\\(//\\)\\|\\(/\\*\\)\\)" str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3445 (setq str (concat (substring str 0 e) "...")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3446 str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3447 'nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3448
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3449
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3450 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3451 ;; Other functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3452 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3453
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3454 (defun verilog-kill-existing-comment ()
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3455 "Kill auto comment on this line."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3456 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3457 (let* (
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3458 (e (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3459 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3460 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3461 (b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3462 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3463 (search-forward "//" e t))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3464 (if b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3465 (delete-region (- b 2) e)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3466
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3467 (defconst verilog-directive-nest-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3468 (concat "\\(`else\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3469 "\\(`endif\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3470 "\\(`if\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3471 "\\(`ifdef\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3472 "\\(`ifndef\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3473 (defun verilog-set-auto-endcomments (indent-str kill-existing-comment)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3474 "Add ending comment with given INDENT-STR.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3475 With KILL-EXISTING-COMMENT, remove what was there before.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3476 Insert `// case: 7 ' or `// NAME ' on this line if appropriate.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3477 Insert `// case expr ' if this line ends a case block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3478 Insert `// ifdef FOO ' if this line ends code conditional on FOO.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3479 Insert `// NAME ' if this line ends a function, task, module,
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3480 primitive or interface named NAME."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3481 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3482 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3483 (; Comment close preprocessor directives
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3484 (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3485 (looking-at "\\(`endif\\)\\|\\(`else\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3486 (or kill-existing-comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3487 (not (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3488 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3489 (search-backward "//" (verilog-get-beg-of-line) t)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3490 (let ((nest 1) b e
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3491 m
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3492 (else (if (match-end 2) "!" " ")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3493 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3494 (if kill-existing-comment
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3495 (verilog-kill-existing-comment))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3496 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3497 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3498 (backward-sexp 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3499 (while (and (/= nest 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3500 (verilog-re-search-backward verilog-directive-nest-re nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3501 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3502 ((match-end 1) ; `else
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3503 (if (= nest 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3504 (setq else "!")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3505 ((match-end 2) ; `endif
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3506 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3507 ((match-end 3) ; `if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3508 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3509 ((match-end 4) ; `ifdef
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3510 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3511 ((match-end 5) ; `ifndef
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3512 (setq nest (1- nest)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3513 (if (match-end 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3514 (setq
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3515 m (buffer-substring
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3516 (match-beginning 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3517 (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3518 b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3519 (skip-chars-forward "^ \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3520 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3521 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3522 e (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3523 (skip-chars-forward "a-zA-Z0-9_")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3524 (point)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3525 (if b
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3526 (if (> (count-lines (point) b) verilog-minimum-comment-distance)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3527 (insert (concat " // " else m " " (buffer-substring b e))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3528 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3529 (insert " // unmatched `else or `endif")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3530 (ding 't)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3531
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3532 (; Comment close case/class/function/task/module and named block
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3533 (and (looking-at "\\<end")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3534 (or kill-existing-comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3535 (not (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3536 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3537 (search-backward "//" (verilog-get-beg-of-line) t)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3538 (let ((type (car indent-str)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3539 (unless (eq type 'declaration)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3540 (unless (looking-at (concat "\\(" verilog-end-block-ordered-re "\\)[ \t]*:")) ;; ignore named ends
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3541 (if (looking-at verilog-end-block-ordered-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3542 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3543 (;- This is a case block; search back for the start of this case
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3544 (match-end 1) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3546 (let ((err 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3547 (str "UNMATCHED!!"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3548 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3549 (verilog-leap-to-head)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3550 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3551 ((looking-at "\\<randcase\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3552 (setq str "randcase")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3553 (setq err nil))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3554 ((looking-at "\\(\\(unique\\s-+\\|priority\\s-+\\)?case[xz]?\\)")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3555 (goto-char (match-end 0))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3556 (setq str (concat (match-string 0) " " (verilog-get-expr)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3557 (setq err nil))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3558 ))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3559 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3560 (if kill-existing-comment
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3561 (verilog-kill-existing-comment))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3562 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3563 (insert (concat " // " str ))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3564 (if err (ding 't))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3565
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3566 (;- This is a begin..end block
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3567 (match-end 2) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3568 (let ((str " // UNMATCHED !!")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3569 (err 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3570 (here (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3571 there
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3572 cntx)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3573 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3574 (verilog-leap-to-head)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3575 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3576 (if (not (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3577 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3578 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3579 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3580 (if kill-existing-comment
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3581 (verilog-kill-existing-comment))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3582 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3583 (insert str)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3584 (ding 't))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3585 (let ((lim
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3586 (save-excursion (verilog-beg-of-defun) (point)))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3587 (here (point)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3588 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3589 (;-- handle named block differently
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3590 (looking-at verilog-named-block-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3591 (search-forward ":")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3592 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3593 (setq str (verilog-get-expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3594 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3595 (setq str (concat " // block: " str )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3596
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3597 ((verilog-in-case-region-p) ;-- handle case item differently
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3598 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3599 (setq str (verilog-backward-case-item lim))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3600 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3601 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3602 (setq str (concat " // case: " str )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3603
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3604 (;- try to find "reason" for this begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3605 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3606 (;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3607 (eq here (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3608 (verilog-backward-token)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3609 (verilog-beg-of-statement-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3610 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3611 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3612 (setq str ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3613 ((looking-at verilog-endcomment-reason-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3614 (setq there (match-end 0))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3615 (setq cntx (concat (match-string 0) " "))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3616 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3617 (;- begin
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3618 (match-end 1)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3619 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3620 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3621 (if (and (verilog-continued-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3622 (looking-at "\\<repeat\\>\\|\\<wait\\>\\|\\<always\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3623 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3624 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3625 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3626 (setq str
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3627 (concat " // " (match-string 0) " " (verilog-get-expr))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3628 (setq str ""))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3629
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3630 (;- else
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3631 (match-end 2)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3632 (let ((nest 0)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3633 ( reg "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)\\|\\(\\<if\\>\\)"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3634 (catch 'skip
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3635 (while (verilog-re-search-backward reg nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3636 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3637 ((match-end 1) ; begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3638 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3639 ((match-end 2) ; end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3640 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3641 ((match-end 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3642 (if (= 0 nest)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3643 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3644 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3645 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3646 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3647 (setq str (verilog-get-expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3648 (setq str (concat " // else: !if" str ))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3649 (throw 'skip 1)))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3650
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3651 (;- end else
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3652 (match-end 3)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3653 (goto-char there)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3654 (let ((nest 0)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3655 (reg "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)\\|\\(\\<if\\>\\)"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3656 (catch 'skip
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3657 (while (verilog-re-search-backward reg nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3658 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3659 ((match-end 1) ; begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3660 (setq nest (1- nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3661 ((match-end 2) ; end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3662 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3663 ((match-end 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3664 (if (= 0 nest)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3665 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3666 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3667 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3668 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3669 (setq str (verilog-get-expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3670 (setq str (concat " // else: !if" str ))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3671 (throw 'skip 1)))))))))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3672 (; always_comb, always_ff, always_latch
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3673 (or (match-end 4) (match-end 5) (match-end 6))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3674 (goto-char (match-end 0))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3675 (setq there (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3676 (setq err nil)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3677 (setq str (concat " // " cntx )))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3678
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3679 (;- task/function/initial et cetera
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3680 t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3681 (match-end 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3682 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3683 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3684 (setq err nil)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3685 (setq str (concat " // " cntx (verilog-get-expr))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3686
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3687 (;-- otherwise...
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3688 (setq str " // auto-endcomment confused "))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3689
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3690 ((and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3691 (verilog-in-case-region-p) ;-- handle case item differently
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3692 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3693 (setq there (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3694 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3695 (setq str (verilog-backward-case-item lim))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3696 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3697 (setq str (concat " // case: " str )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3698
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3699 ((verilog-in-fork-region-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3700 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3701 (setq str " // fork branch" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3702
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3703 ((looking-at "\\<end\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3704 ;; HERE
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3705 (forward-word 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3706 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3707 (setq err nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3708 (setq str (verilog-get-expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3709 (setq str (concat " // " cntx str )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3710
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3711 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3712 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3713 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3714 (if kill-existing-comment
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3715 (verilog-kill-existing-comment))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3716 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3717 (if (or err
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3718 (> (count-lines here there) verilog-minimum-comment-distance))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3719 (insert str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3720 (if err (ding 't))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3721 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3722 (;- this is endclass, which can be nested
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3723 (match-end 11) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3724 ;;(goto-char there)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3725 (let ((nest 0)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3726 (reg "\\<\\(class\\)\\|\\(endclass\\)\\|\\(package\\|primitive\\|\\(macro\\)?module\\)\\>")
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3727 string)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3728 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3729 (catch 'skip
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3730 (while (verilog-re-search-backward reg nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3731 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3732 ((match-end 3) ; endclass
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3733 (ding 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3734 (setq string "unmatched endclass")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3735 (throw 'skip 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3736
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3737 ((match-end 2) ; endclass
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3738 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3739
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3740 ((match-end 1) ; class
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3741 (setq nest (1- nest))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3742 (if (< nest 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3743 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3744 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3745 (let (b e)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3746 (setq b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3747 (skip-chars-forward "^ \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3748 (verilog-forward-ws&directives)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3749 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3750 e (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3751 (skip-chars-forward "a-zA-Z0-9_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3752 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3753 (setq string (buffer-substring b e)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3754 (throw 'skip 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3755 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3756 (end-of-line)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3757 (insert (concat " // " string ))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3758
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3759 (;- this is end{function,generate,task,module,primitive,table,generate}
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3760 ;- which can not be nested.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3761 t
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
3762 (let (string reg (name-re nil))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3763 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3764 (if kill-existing-comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3765 (save-match-data
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
3766 (verilog-kill-existing-comment)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3767 (delete-horizontal-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3768 (backward-sexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3769 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3770 ((match-end 5) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3771 (setq reg "\\(\\<function\\>\\)\\|\\(\\<\\(endfunction\\|task\\|\\(macro\\)?module\\|primitive\\)\\>\\)")
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
3772 (setq name-re "\\w+\\s-*(")
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
3773 )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3774 ((match-end 6) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3775 (setq reg "\\(\\<task\\>\\)\\|\\(\\<\\(endtask\\|function\\|\\(macro\\)?module\\|primitive\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3776 ((match-end 7) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3777 (setq reg "\\(\\<\\(macro\\)?module\\>\\)\\|\\<endmodule\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3778 ((match-end 8) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3779 (setq reg "\\(\\<primitive\\>\\)\\|\\(\\<\\(endprimitive\\|package\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3780 ((match-end 9) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3781 (setq reg "\\(\\<interface\\>\\)\\|\\(\\<\\(endinterface\\|package\\|primitive\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3782 ((match-end 10) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3783 (setq reg "\\(\\<package\\>\\)\\|\\(\\<\\(endpackage\\|primitive\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3784 ((match-end 11) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3785 (setq reg "\\(\\<class\\>\\)\\|\\(\\<\\(endclass\\|primitive\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3786 ((match-end 12) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3787 (setq reg "\\(\\<covergroup\\>\\)\\|\\(\\<\\(endcovergroup\\|primitive\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3788 ((match-end 13) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3789 (setq reg "\\(\\<program\\>\\)\\|\\(\\<\\(endprogram\\|primitive\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3790 ((match-end 14) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3791 (setq reg "\\(\\<\\(rand\\)?sequence\\>\\)\\|\\(\\<\\(endsequence\\|primitive\\|interface\\|\\(macro\\)?module\\)\\>\\)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3792 ((match-end 15) ;; of verilog-end-block-ordered-re
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3793 (setq reg "\\(\\<clocking\\>\\)\\|\\<endclocking\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3794
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3795 (t (error "Problem in verilog-set-auto-endcomments")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3796 (let (b e)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3797 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3798 (verilog-re-search-backward reg nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3799 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3800 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3801 (setq b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3802 (skip-chars-forward "^ \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3803 (verilog-forward-ws&directives)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
3804 (if (and name-re (verilog-re-search-forward name-re nil 'move))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3805 (progn
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
3806 (goto-char (match-beginning 0))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3807 (verilog-forward-ws&directives)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3808 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3809 e (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3810 (skip-chars-forward "a-zA-Z0-9_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3811 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3812 (setq string (buffer-substring b e)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3813 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3814 (ding 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3815 (setq string "unmatched end(function|task|module|primitive|interface|package|class|clocking)")))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3816 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3817 (insert (concat " // " string )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3818 ))))))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3819
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3820 (defun verilog-get-expr()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3821 "Grab expression at point, e.g, case ( a | b & (c ^d))."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3822 (let* ((b (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3823 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3824 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3825 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3826 (e (let ((par 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3827 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3828 ((looking-at "@")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3829 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3830 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3831 (if (looking-at "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3832 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3833 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3834 (while (and (/= par 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3835 (verilog-re-search-forward "\\((\\)\\|\\()\\)" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3836 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3837 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3838 (setq par (1+ par)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3839 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3840 (setq par (1- par)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3841 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3842 ((looking-at "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3843 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3844 (while (and (/= par 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3845 (verilog-re-search-forward "\\((\\)\\|\\()\\)" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3846 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3847 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3848 (setq par (1+ par)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3849 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3850 (setq par (1- par)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3851 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3852 ((looking-at "\\[")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3853 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3854 (while (and (/= par 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3855 (verilog-re-search-forward "\\(\\[\\)\\|\\(\\]\\)" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3856 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3857 ((match-end 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3858 (setq par (1+ par)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3859 ((match-end 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3860 (setq par (1- par)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3861 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3862 (skip-chars-forward "^ \t\n\f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3863 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3864 ((looking-at "/[/\\*]")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3865 b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3866 ('t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3867 (skip-chars-forward "^: \t\n\f")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3868 (point)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3869 (str (buffer-substring b e)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3870 (if (setq e (string-match "[ \t]*\\(\\(\n\\)\\|\\(//\\)\\|\\(/\\*\\)\\)" str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3871 (setq str (concat (substring str 0 e) "...")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3872 str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3873
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3874 (defun verilog-expand-vector ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3875 "Take a signal vector on the current line and expand it to multiple lines.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3876 Useful for creating tri's and other expanded fields."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3877 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3878 (verilog-expand-vector-internal "[" "]"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3879
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3880 (defun verilog-expand-vector-internal (bra ket)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3881 "Given BRA, the start brace and KET, the end brace, expand one line into many lines."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3882 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3883 (forward-line 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3884 (let ((signal-string (buffer-substring (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3885 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3886 (end-of-line) (point)))))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3887 (if (string-match
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3888 (concat "\\(.*\\)"
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3889 (regexp-quote bra)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3890 "\\([0-9]*\\)\\(:[0-9]*\\|\\)\\(::[0-9---]*\\|\\)"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3891 (regexp-quote ket)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
3892 "\\(.*\\)$") signal-string)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3893 (let* ((sig-head (match-string 1 signal-string))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3894 (vec-start (string-to-number (match-string 2 signal-string)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3895 (vec-end (if (= (match-beginning 3) (match-end 3))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3896 vec-start
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3897 (string-to-number
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3898 (substring signal-string (1+ (match-beginning 3))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3899 (match-end 3)))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3900 (vec-range
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3901 (if (= (match-beginning 4) (match-end 4))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3902 1
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3903 (string-to-number
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3904 (substring signal-string (+ 2 (match-beginning 4))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3905 (match-end 4)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3906 (sig-tail (match-string 5 signal-string))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3907 vec)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3908 ;; Decode vectors
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3909 (setq vec nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3910 (if (< vec-range 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3911 (let ((tmp vec-start))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3912 (setq vec-start vec-end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3913 vec-end tmp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3914 vec-range (- vec-range))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3915 (if (< vec-end vec-start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3916 (while (<= vec-end vec-start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3917 (setq vec (append vec (list vec-start)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3918 (setq vec-start (- vec-start vec-range)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3919 (while (<= vec-start vec-end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3920 (setq vec (append vec (list vec-start)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3921 (setq vec-start (+ vec-start vec-range))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3922 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3923 ;; Delete current line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3924 (delete-region (point) (progn (forward-line 0) (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3925 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3926 ;; Expand vector
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3927 (while vec
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3928 (insert (concat sig-head bra
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3929 (int-to-string (car vec)) ket sig-tail "\n"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3930 (setq vec (cdr vec)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3931 (delete-char -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3932 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3933 )))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3934
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3935 (defun verilog-strip-comments ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3936 "Strip all comments from the Verilog code."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3937 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3938 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3939 (while (re-search-forward "//" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3940 (if (verilog-within-string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3941 (re-search-forward "\"" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3942 (if (verilog-in-star-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3943 (re-search-forward "\*/" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3944 (let ((bpt (- (point) 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3945 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3946 (delete-region bpt (point))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3947 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3948 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3949 (while (re-search-forward "/\\*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3950 (if (verilog-within-string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3951 (re-search-forward "\"" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3952 (let ((bpt (- (point) 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3953 (re-search-forward "\\*/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3954 (delete-region bpt (point))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3955
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3956 (defun verilog-one-line ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3957 "Convert structural Verilog instances to occupy one line."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3958 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3959 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3960 (while (re-search-forward "\\([^;]\\)[ \t]*\n[ \t]*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3961 (replace-match "\\1 " nil nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3962
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3963 (defun verilog-linter-name ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3964 "Return name of linter, either surelint or verilint."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3965 (let ((compile-word1 (verilog-string-replace-matches "\\s .*$" "" nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3966 compile-command))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3967 (lint-word1 (verilog-string-replace-matches "\\s .*$" "" nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3968 verilog-linter)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3969 (cond ((equal compile-word1 "surelint") `surelint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3970 ((equal compile-word1 "verilint") `verilint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3971 ((equal lint-word1 "surelint") `surelint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3972 ((equal lint-word1 "verilint") `verilint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3973 (t `surelint)))) ;; back compatibility
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3974
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3975 (defun verilog-lint-off ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3976 "Convert a Verilog linter warning line into a disable statement.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3977 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3978 pci_bfm_null.v, line 46: Unused input: pci_rst_
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3979 becomes a comment for the appropriate tool.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3980
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3981 The first word of the `compile-command' or `verilog-linter'
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
3982 variables is used to determine which product is being used.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3983
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3984 See \\[verilog-surelint-off] and \\[verilog-verilint-off]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3985 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3986 (let ((linter (verilog-linter-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3987 (cond ((equal linter `surelint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3988 (verilog-surelint-off))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3989 ((equal linter `verilint)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3990 (verilog-verilint-off))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3991 (t (error "Linter name not set")))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3992
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3993 (defvar compilation-last-buffer)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
3994 (defvar next-error-last-buffer)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
3995
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3996 (defun verilog-surelint-off ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3997 "Convert a SureLint warning line into a disable statement.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3998 Run from Verilog source window; assumes there is a *compile* buffer
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
3999 with point set appropriately.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4000
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4001 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4002 WARNING [STD-UDDONX]: xx.v, line 8: output out is never assigned.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4003 becomes:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4004 // surefire lint_line_off UDDONX"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4005 (interactive)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4006 (let ((buff (if (boundp 'next-error-last-buffer)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4007 next-error-last-buffer
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4008 compilation-last-buffer)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4009 (when (buffer-live-p buff)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4010 ;; FIXME with-current-buffer?
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4011 (save-excursion
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4012 (switch-to-buffer buff)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4013 (beginning-of-line)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4014 (when
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4015 (looking-at "\\(INFO\\|WARNING\\|ERROR\\) \\[[^-]+-\\([^]]+\\)\\]: \\([^,]+\\), line \\([0-9]+\\): \\(.*\\)$")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4016 (let* ((code (match-string 2))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4017 (file (match-string 3))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4018 (line (match-string 4))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4019 (buffer (get-file-buffer file))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4020 dir filename)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4021 (unless buffer
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4022 (progn
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4023 (setq buffer
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4024 (and (file-exists-p file)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4025 (find-file-noselect file)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4026 (or buffer
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4027 (let* ((pop-up-windows t))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4028 (let ((name (expand-file-name
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4029 (read-file-name
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4030 (format "Find this error in: (default %s) "
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4031 file)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4032 dir file t))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4033 (if (file-directory-p name)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4034 (setq name (expand-file-name filename name)))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4035 (setq buffer
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4036 (and (file-exists-p name)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4037 (find-file-noselect name))))))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4038 (switch-to-buffer buffer)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4039 (goto-line (string-to-number line))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4040 (end-of-line)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4041 (catch 'already
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4042 (cond
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4043 ((verilog-in-slash-comment-p)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4044 (re-search-backward "//")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4045 (cond
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4046 ((looking-at "// surefire lint_off_line ")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4047 (goto-char (match-end 0))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4048 (let ((lim (save-excursion (end-of-line) (point))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4049 (if (re-search-forward code lim 'move)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4050 (throw 'already t)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4051 (insert (concat " " code)))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4052 (t
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4053 )))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4054 ((verilog-in-star-comment-p)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4055 (re-search-backward "/\*")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4056 (insert (format " // surefire lint_off_line %6s" code )))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4057 (t
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4058 (insert (format " // surefire lint_off_line %6s" code ))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4059 )))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4060
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4061 (defun verilog-verilint-off ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4062 "Convert a Verilint warning line into a disable statement.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4063
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4064 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4065 (W240) pci_bfm_null.v, line 46: Unused input: pci_rst_
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4066 becomes:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4067 //Verilint 240 off // WARNING: Unused input"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4068 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4069 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4070 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4071 (when (looking-at "\\(.*\\)([WE]\\([0-9A-Z]+\\)).*,\\s +line\\s +[0-9]+:\\s +\\([^:\n]+\\):?.*$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4072 (replace-match (format
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4073 ;; %3s makes numbers 1-999 line up nicely
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4074 "\\1//Verilint %3s off // WARNING: \\3"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4075 (match-string 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4076 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4077 (verilog-indent-line))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4078
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4079 (defun verilog-auto-save-compile ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4080 "Update automatics with \\[verilog-auto], save the buffer, and compile."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4081 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4082 (verilog-auto) ; Always do it for safety
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4083 (save-buffer)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4084 (compile compile-command))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4085
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4086
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4087
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4088 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4089 ;; Batch
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4090 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4091
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4092 (defmacro verilog-batch-error-wrapper (&rest body)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4093 "Execute BODY and add error prefix to any errors found.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4094 This lets programs calling batch mode to easily extract error messages."
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
4095 `(condition-case err
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
4096 (progn ,@body)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
4097 (error
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
4098 (error "%%Error: %s%s" (error-message-string err)
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
4099 (if (featurep 'xemacs) "\n" ""))))) ;; XEmacs forgets to add a newline
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4100
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4101 (defun verilog-batch-execute-func (funref)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4102 "Internal processing of a batch command, running FUNREF on all command arguments."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4103 (verilog-batch-error-wrapper
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4104 ;; General globals needed
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4105 (setq make-backup-files nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4106 (setq-default make-backup-files nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4107 (setq enable-local-variables t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4108 (setq enable-local-eval t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4109 ;; Make sure any sub-files we read get proper mode
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4110 (setq default-major-mode `verilog-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4111 ;; Ditto files already read in
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4112 (mapc (lambda (buf)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4113 (when (buffer-file-name buf)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4114 (save-excursion
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4115 (set-buffer buf)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4116 (verilog-mode))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4117 (buffer-list))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4118 ;; Process the files
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4119 (mapcar '(lambda (buf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4120 (when (buffer-file-name buf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4121 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4122 (if (not (file-exists-p (buffer-file-name buf)))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4123 (error
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
4124 (concat "File not found: " (buffer-file-name buf))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4125 (message (concat "Processing " (buffer-file-name buf)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4126 (set-buffer buf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4127 (funcall funref)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4128 (save-buffer))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4129 (buffer-list))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4130
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4131 (defun verilog-batch-auto ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4132 "For use with --batch, perform automatic expansions as a stand-alone tool.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4133 This sets up the appropriate Verilog mode environment, updates automatics
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4134 with \\[verilog-auto] on all command-line files, and saves the buffers.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4135 For proper results, multiple filenames need to be passed on the command
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4136 line in bottom-up order."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4137 (unless noninteractive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4138 (error "Use verilog-batch-auto only with --batch")) ;; Otherwise we'd mess up buffer modes
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4139 (verilog-batch-execute-func `verilog-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4140
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4141 (defun verilog-batch-delete-auto ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4142 "For use with --batch, perform automatic deletion as a stand-alone tool.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4143 This sets up the appropriate Verilog mode environment, deletes automatics
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4144 with \\[verilog-delete-auto] on all command-line files, and saves the buffers."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4145 (unless noninteractive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4146 (error "Use verilog-batch-delete-auto only with --batch")) ;; Otherwise we'd mess up buffer modes
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4147 (verilog-batch-execute-func `verilog-delete-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4148
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4149 (defun verilog-batch-inject-auto ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4150 "For use with --batch, perform automatic injection as a stand-alone tool.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4151 This sets up the appropriate Verilog mode environment, injects new automatics
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4152 with \\[verilog-inject-auto] on all command-line files, and saves the buffers.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4153 For proper results, multiple filenames need to be passed on the command
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4154 line in bottom-up order."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4155 (unless noninteractive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4156 (error "Use verilog-batch-inject-auto only with --batch")) ;; Otherwise we'd mess up buffer modes
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4157 (verilog-batch-execute-func `verilog-inject-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4158
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4159 (defun verilog-batch-indent ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4160 "For use with --batch, reindent an a entire file as a stand-alone tool.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4161 This sets up the appropriate Verilog mode environment, calls
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4162 \\[verilog-indent-buffer] on all command-line files, and saves the buffers."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4163 (unless noninteractive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4164 (error "Use verilog-batch-indent only with --batch")) ;; Otherwise we'd mess up buffer modes
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4165 (verilog-batch-execute-func `verilog-indent-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4166
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4167
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4168 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4169 ;; Indentation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4170 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4171 (defconst verilog-indent-alist
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4172 '((block . (+ ind verilog-indent-level))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4173 (case . (+ ind verilog-case-indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4174 (cparenexp . (+ ind verilog-indent-level))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4175 (cexp . (+ ind verilog-cexp-indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4176 (defun . verilog-indent-level-module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4177 (declaration . verilog-indent-level-declaration)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4178 (directive . (verilog-calculate-indent-directive))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4179 (tf . verilog-indent-level)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4180 (behavioral . (+ verilog-indent-level-behavioral verilog-indent-level-module))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4181 (statement . ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4182 (cpp . 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4183 (comment . (verilog-comment-indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4184 (unknown . 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4185 (string . 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4186
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4187 (defun verilog-continued-line-1 (lim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4188 "Return true if this is a continued line.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4189 Set point to where line starts. Limit search to point LIM."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4190 (let ((continued 't))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4191 (if (eq 0 (forward-line -1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4192 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4193 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4194 (verilog-backward-ws&directives lim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4195 (if (bobp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4196 (setq continued nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4197 (setq continued (verilog-backward-token))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4198 (setq continued nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4199 continued))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4200
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4201 (defun verilog-calculate-indent ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4202 "Calculate the indent of the current Verilog line.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4203 Examine previous lines. Once a line is found that is definitive as to the
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4204 type of the current line, return that lines' indent level and its type.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4205 Return a list of two elements: (INDENT-TYPE INDENT-LEVEL)."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4206 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4207 (let* ((starting_position (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4208 (par 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4209 (begin (looking-at "[ \t]*begin\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4210 (lim (save-excursion (verilog-re-search-backward "\\(\\<begin\\>\\)\\|\\(\\<module\\>\\)" nil t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4211 (type (catch 'nesting
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4212 ;; Keep working backwards until we can figure out
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4213 ;; what type of statement this is.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4214 ;; Basically we need to figure out
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4215 ;; 1) if this is a continuation of the previous line;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4216 ;; 2) are we in a block scope (begin..end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4217
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4218 ;; if we are in a comment, done.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4219 (if (verilog-in-star-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4220 (throw 'nesting 'comment))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4221
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4222 ;; if we have a directive, done.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4223 (if (save-excursion (beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4224 (and (looking-at verilog-directive-re-1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4225 (not (looking-at "[ \t]*`ovm_"))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4226 (throw 'nesting 'directive))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4227 ;; indent structs as if there were module level
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4228 (if (verilog-in-struct-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4229 (throw 'nesting 'block))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4230
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4231 ;; unless we are in the newfangled coverpoint or constraint blocks
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4232 ;; if we are in a parenthesized list, and the user likes to indent these, return.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4233 (if (and
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4234 verilog-indent-lists
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4235 (verilog-in-paren)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4236 (not (verilog-in-coverage-p))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4237 )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4238 (progn (setq par 1)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4239 (throw 'nesting 'block)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4240
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4241 ;; See if we are continuing a previous line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4242 (while t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4243 ;; trap out if we crawl off the top of the buffer
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4244 (if (bobp) (throw 'nesting 'cpp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4245
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4246 (if (verilog-continued-line-1 lim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4247 (let ((sp (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4248 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4249 (not (looking-at verilog-complete-reg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4250 (verilog-continued-line-1 lim))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4251 (progn (goto-char sp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4252 (throw 'nesting 'cexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4253
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4254 (goto-char sp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4255
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4256 (if (and begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4257 (not verilog-indent-begin-after-if)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4258 (looking-at verilog-no-indent-begin-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4259 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4260 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4261 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4262 (throw 'nesting 'statement))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4263 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4264 (throw 'nesting 'cexp))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4265 ;; not a continued line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4266 (goto-char starting_position))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4267
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4268 (if (looking-at "\\<else\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4269 ;; search back for governing if, striding across begin..end pairs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4270 ;; appropriately
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4271 (let ((elsec 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4272 (while (verilog-re-search-backward verilog-ends-re nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4273 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4274 ((match-end 1) ; else, we're in deep
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4275 (setq elsec (1+ elsec)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4276 ((match-end 2) ; if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4277 (setq elsec (1- elsec))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4278 (if (= 0 elsec)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4279 (if verilog-align-ifelse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4280 (throw 'nesting 'statement)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4281 (progn ;; back up to first word on this line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4282 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4283 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4284 (throw 'nesting 'statement)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4285 (t ; endblock
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4286 ; try to leap back to matching outward block by striding across
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4287 ; indent level changing tokens then immediately
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4288 ; previous line governs indentation.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4289 (let (( reg) (nest 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4290 ;; verilog-ends => else|if|end|join(_any|_none|)|endcase|endclass|endtable|endspecify|endfunction|endtask|endgenerate|endgroup
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4291 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4292 ((match-end 3) ; end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4293 ;; Search back for matching begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4294 (setq reg "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4295 ((match-end 4) ; endcase
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4296 ;; Search back for matching case
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4297 (setq reg "\\(\\<randcase\\>\\|\\<case[xz]?\\>[^:]\\)\\|\\(\\<endcase\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4298 ((match-end 5) ; endfunction
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4299 ;; Search back for matching function
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4300 (setq reg "\\(\\<function\\>\\)\\|\\(\\<endfunction\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4301 ((match-end 6) ; endtask
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4302 ;; Search back for matching task
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4303 (setq reg "\\(\\<task\\>\\)\\|\\(\\<endtask\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4304 ((match-end 7) ; endspecify
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4305 ;; Search back for matching specify
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4306 (setq reg "\\(\\<specify\\>\\)\\|\\(\\<endspecify\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4307 ((match-end 8) ; endtable
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4308 ;; Search back for matching table
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4309 (setq reg "\\(\\<table\\>\\)\\|\\(\\<endtable\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4310 ((match-end 9) ; endgenerate
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4311 ;; Search back for matching generate
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4312 (setq reg "\\(\\<generate\\>\\)\\|\\(\\<endgenerate\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4313 ((match-end 10) ; joins
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4314 ;; Search back for matching fork
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4315 (setq reg "\\(\\<fork\\>\\)\\|\\(\\<join\\(_any\\|none\\)?\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4316 ((match-end 11) ; class
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4317 ;; Search back for matching class
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4318 (setq reg "\\(\\<class\\>\\)\\|\\(\\<endclass\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4319 ((match-end 12) ; covergroup
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4320 ;; Search back for matching covergroup
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4321 (setq reg "\\(\\<covergroup\\>\\)\\|\\(\\<endgroup\\>\\)" )))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4322 (catch 'skip
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4323 (while (verilog-re-search-backward reg nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4324 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4325 ((match-end 1) ; begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4326 (setq nest (1- nest))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4327 (if (= 0 nest)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4328 (throw 'skip 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4329 ((match-end 2) ; end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4330 (setq nest (1+ nest)))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4331 )))))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4332 (throw 'nesting (verilog-calc-1)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4333 );; catch nesting
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4334 );; type
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4335 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4336 ;; Return type of block and indent level.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4337 (if (not type)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4338 (setq type 'cpp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4339 (if (> par 0) ; Unclosed Parenthesis
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4340 (list 'cparenexp par)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4341 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4342 ((eq type 'case)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4343 (list type (verilog-case-indent-level)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4344 ((eq type 'statement)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4345 (list type (current-column)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4346 ((eq type 'defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4347 (list type 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4348 (t
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4349 (list type (verilog-current-indent-level))))))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4350
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4351 (defun verilog-wai ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4352 "Show matching nesting block for debugging."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4353 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4354 (save-excursion
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4355 (let* ((type (verilog-calc-1))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4356 depth)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4357 ;; Return type of block and indent level.
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4358 (if (not type)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4359 (setq type 'cpp))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4360 (if (and
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4361 verilog-indent-lists
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4362 (not(or (verilog-in-coverage-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4363 (verilog-in-struct-p)))
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4364 (verilog-in-paren))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4365 (setq depth 1)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4366 (cond
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4367 ((eq type 'case)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4368 (setq depth (verilog-case-indent-level)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4369 ((eq type 'statement)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4370 (setq depth (current-column)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4371 ((eq type 'defun)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4372 (setq depth 0))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4373 (t
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4374 (setq depth (verilog-current-indent-level)))))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4375 (message "You are at nesting %s depth %d" type depth))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4376
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4377 (defun verilog-calc-1 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4378 (catch 'nesting
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4379 (let ((re (concat "\\({\\|}\\|" verilog-indent-re "\\)")))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4380 (while (verilog-re-search-backward re nil 'move)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4381 (catch 'continue
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4382 (cond
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4383 ((equal (char-after) ?\{)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4384 (if (verilog-at-constraint-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4385 (throw 'nesting 'block)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4386
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4387 ((equal (char-after) ?\})
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4388 (let ((there (verilog-at-close-constraint-p)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4389 (if there ;; we are at the } that closes a constraing. Find the { that opens it
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4390 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4391 (forward-char 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4392 (backward-list 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4393 (verilog-beg-of-statement)))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4394
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4395 ((looking-at verilog-beg-block-re-ordered)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4396 (cond
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4397 ((match-end 2) ; *sigh* could be "unique case" or "priority casex"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4398 (let ((here (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4399 (verilog-beg-of-statement)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4400 (if (looking-at verilog-extended-case-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4401 (throw 'nesting 'case)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4402 (goto-char here)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4403 (throw 'nesting 'case))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4404
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4405 ((match-end 4) ; *sigh* could be "disable fork"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4406 (let ((here (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4407 (verilog-beg-of-statement)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4408 (if (looking-at verilog-disable-fork-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4409 t ; is disable fork, this is a normal statement
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4410 (progn ; or is fork, starts a new block
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4411 (goto-char here)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4412 (throw 'nesting 'block)))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4413
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4414
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4415 ;; need to consider typedef struct here...
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4416 ((looking-at "\\<class\\|struct\\|function\\|task\\>")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4417 ; *sigh* These words have an optional prefix:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4418 ; extern {virtual|protected}? function a();
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4419 ; typedef class foo;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4420 ; and we don't want to confuse this with
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4421 ; function a();
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4422 ; property
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4423 ; ...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4424 ; endfunction
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4425 (verilog-beg-of-statement)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4426 (if (looking-at verilog-beg-block-re-ordered)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4427 (throw 'nesting 'block)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4428 (throw 'nesting 'defun)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4429
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4430 ((looking-at "\\<property\\>")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4431 ; *sigh*
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
4432 ; {assert|assume|cover} property (); are complete
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
4433 ; but
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
4434 ; property ID () ... needs end_property
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4435 (verilog-beg-of-statement)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4436 (if (looking-at "\\(assert\\|assume\\|cover\\)\\s-+property\\>")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4437 (throw 'nesting 'statement) ; We don't need an endproperty for these
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4438 (throw 'nesting 'block) ;We still need a endproperty
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4439 ))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4440
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4441 (t (throw 'nesting 'block))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4442
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4443 ((looking-at verilog-end-block-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4444 (verilog-leap-to-head)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4445 (if (verilog-in-case-region-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4446 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4447 (verilog-leap-to-case-head)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4448 (if (looking-at verilog-extended-case-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4449 (throw 'nesting 'case)))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4450
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4451 ((looking-at verilog-defun-level-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4452 (if (looking-at verilog-defun-level-generate-only-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4453 (if (verilog-in-generate-region-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4454 (throw 'continue 'foo) ; always block in a generate
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4455 (throw 'nesting 'defun))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4456 (throw 'nesting 'defun)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4457
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4458 ((looking-at verilog-cpp-level-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4459 (throw 'nesting 'cpp))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4460
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4461 ((bobp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4462 (throw 'nesting 'cpp)))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4463
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4464 (throw 'nesting 'cpp))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4465
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4466 (defun verilog-calculate-indent-directive ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4467 "Return indentation level for directive.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4468 For speed, the searcher looks at the last directive, not the indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4469 of the appropriate enclosing block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4470 (let ((base -1) ;; Indent of the line that determines our indentation
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4471 (ind 0)) ;; Relative offset caused by other directives (like `endif on same line as `else)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4472 ;; Start at current location, scan back for another directive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4473
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4474 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4475 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4476 (while (and (< base 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4477 (verilog-re-search-backward verilog-directive-re nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4478 (cond ((save-excursion (skip-chars-backward " \t") (bolp))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4479 (setq base (current-indentation))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4480 (cond ((and (looking-at verilog-directive-end) (< base 0)) ;; Only matters when not at BOL
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4481 (setq ind (- ind verilog-indent-level-directive)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4482 ((and (looking-at verilog-directive-middle) (>= base 0)) ;; Only matters when at BOL
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4483 (setq ind (+ ind verilog-indent-level-directive)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4484 ((looking-at verilog-directive-begin)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4485 (setq ind (+ ind verilog-indent-level-directive)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4486 ;; Adjust indent to starting indent of critical line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4487 (setq ind (max 0 (+ ind base))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4488
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4489 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4490 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4491 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4492 (cond ((or (looking-at verilog-directive-middle)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4493 (looking-at verilog-directive-end))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4494 (setq ind (max 0 (- ind verilog-indent-level-directive))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4495 ind))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4496
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4497 (defun verilog-leap-to-case-head ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4498 (let ((nest 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4499 (while (/= 0 nest)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4500 (verilog-re-search-backward
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4501 (concat
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4502 "\\(\\<randcase\\>\\|\\(\\<unique\\s-+\\|priority\\s-+\\)?\\<case[xz]?\\>\\)"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4503 "\\|\\(\\<endcase\\>\\)" )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4504 nil 'move)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4505 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4506 ((match-end 1)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4507 (let ((here (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4508 (verilog-beg-of-statement)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4509 (unless (looking-at verilog-extended-case-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4510 (goto-char here)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4511 (setq nest (1- nest)))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4512 ((match-end 3)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4513 (setq nest (1+ nest)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4514 ((bobp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4515 (ding 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4516 (setq nest 0))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4517
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4518 (defun verilog-leap-to-head ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4519 "Move point to the head of this block.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4520 Jump from end to matching begin, from endcase to matching case, and so on."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4521 (let ((reg nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4522 snest
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4523 (nesting 'yes)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4524 (nest 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4525 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4526 ((looking-at "\\<end\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4527 ;; 1: Search back for matching begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4528 (setq reg (concat "\\(\\<begin\\>\\)\\|\\(\\<end\\>\\)\\|"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4529 "\\(\\<endcase\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)" )))
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4530 ((looking-at "\\<endtask\\>")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4531 ;; 2: Search back for matching task
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4532 (setq reg "\\(\\<task\\>\\)\\|\\(\\(\\(\\<virtual\\>\\s-+\\)\\|\\(\\<protected\\>\\s-+\\)\\)+\\<task\\>\\)")
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4533 (setq nesting 'no))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4534 ((looking-at "\\<endcase\\>")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4535 (catch 'nesting
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4536 (verilog-leap-to-case-head) )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4537 (setq reg nil) ; to force skip
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4538 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4539
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4540 ((looking-at "\\<join\\(_any\\|_none\\)?\\>")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4541 ;; 4: Search back for matching fork
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4542 (setq reg "\\(\\<fork\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4543 ((looking-at "\\<endclass\\>")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4544 ;; 5: Search back for matching class
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4545 (setq reg "\\(\\<class\\>\\)\\|\\(\\<endclass\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4546 ((looking-at "\\<endtable\\>")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4547 ;; 6: Search back for matching table
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4548 (setq reg "\\(\\<table\\>\\)\\|\\(\\<endtable\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4549 ((looking-at "\\<endspecify\\>")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4550 ;; 7: Search back for matching specify
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4551 (setq reg "\\(\\<specify\\>\\)\\|\\(\\<endspecify\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4552 ((looking-at "\\<endfunction\\>")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4553 ;; 8: Search back for matching function
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4554 (setq reg "\\(\\<function\\>\\)\\|\\(\\(\\(\\<virtual\\>\\s-+\\)\\|\\(\\<protected\\>\\s-+\\)\\)+\\<function\\>\\)")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4555 (setq nesting 'no))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4556 ;;(setq reg "\\(\\<function\\>\\)\\|\\(\\<endfunction\\>\\)" ))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4557 ((looking-at "\\<endgenerate\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4558 ;; 8: Search back for matching generate
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4559 (setq reg "\\(\\<generate\\>\\)\\|\\(\\<endgenerate\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4560 ((looking-at "\\<endgroup\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4561 ;; 10: Search back for matching covergroup
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4562 (setq reg "\\(\\<covergroup\\>\\)\\|\\(\\<endgroup\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4563 ((looking-at "\\<endproperty\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4564 ;; 11: Search back for matching property
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4565 (setq reg "\\(\\<property\\>\\)\\|\\(\\<endproperty\\>\\)" ))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4566 ((looking-at verilog-ovm-end-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4567 ;; 12: Search back for matching sequence
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4568 (setq reg (concat "\\(" verilog-ovm-begin-re "\\|" verilog-ovm-end-re "\\)")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4569 ((looking-at "\\<endinterface\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4570 ;; 12: Search back for matching interface
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4571 (setq reg "\\(\\<interface\\>\\)\\|\\(\\<endinterface\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4572 ((looking-at "\\<endsequence\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4573 ;; 12: Search back for matching sequence
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4574 (setq reg "\\(\\<\\(rand\\)?sequence\\>\\)\\|\\(\\<endsequence\\>\\)" ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4575 ((looking-at "\\<endclocking\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4576 ;; 12: Search back for matching clocking
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4577 (setq reg "\\(\\<clocking\\)\\|\\(\\<endclocking\\>\\)" )))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4578 (if reg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4579 (catch 'skip
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4580 (if (eq nesting 'yes)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4581 (let (sreg)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4582 (while (verilog-re-search-backward reg nil 'move)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4583 (cond
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4584 ((match-end 1) ; begin
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4585 (setq nest (1- nest))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4586 (if (= 0 nest)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4587 ;; Now previous line describes syntax
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4588 (throw 'skip 1))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4589 (if (and snest
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4590 (= snest nest))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4591 (setq reg sreg)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4592 ((match-end 2) ; end
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4593 (setq nest (1+ nest)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4594 ((match-end 3)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4595 ;; endcase, jump to case
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4596 (setq snest nest)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4597 (setq nest (1+ nest))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4598 (setq sreg reg)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4599 (setq reg "\\(\\<randcase\\>\\|\\<case[xz]?\\>[^:]\\)\\|\\(\\<endcase\\>\\)" ))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4600 ((match-end 4)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4601 ;; join, jump to fork
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4602 (setq snest nest)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4603 (setq nest (1+ nest))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4604 (setq sreg reg)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4605 (setq reg "\\(\\<fork\\>\\)\\|\\(\\<join\\(_any\\|_none\\)?\\>\\)" ))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4606 )))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4607 ;no nesting
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4608 (if (and
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4609 (verilog-re-search-backward reg nil 'move)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4610 (match-end 1)) ; task -> could be virtual and/or protected
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4611 (progn
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4612 (verilog-beg-of-statement)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4613 (throw 'skip 1))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
4614 (throw 'skip 1)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4615
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4616 (defun verilog-continued-line ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4617 "Return true if this is a continued line.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
4618 Set point to where line starts."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4619 (let ((continued 't))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4620 (if (eq 0 (forward-line -1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4621 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4622 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4623 (verilog-backward-ws&directives)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4624 (if (bobp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4625 (setq continued nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4626 (while (and continued
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4627 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4628 (skip-chars-backward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4629 (not (bolp))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4630 (setq continued (verilog-backward-token)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4631 (setq continued nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4632 continued))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4633
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4634 (defun verilog-backward-token ()
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4635 "Step backward token, returing true if nil if continued line."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4636 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4637 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4638 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4639 ((bolp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4640 nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4641 (;-- Anything ending in a ; is complete
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4642 (= (preceding-char) ?\;)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4643 nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4644 (; If a "}" is prefixed by a ";", then this is a complete statement
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4645 ; i.e.: constraint foo { a = b; }
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4646 (= (preceding-char) ?\})
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4647 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4648 (backward-char)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4649 (not(verilog-at-close-constraint-p))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4650 (;-- constraint foo { a = b }
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4651 ; is a complete statement. *sigh*
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4652 (= (preceding-char) ?\{)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4653 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4654 (backward-char)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4655 (not (verilog-at-constraint-p))))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4656 (;" string "
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4657 (= (preceding-char) ?\")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4658 (backward-char)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4659 (verilog-skip-backward-comment-or-string)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4660 nil)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4661
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4662 (; [3:4]
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4663 (= (preceding-char) ?\])
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4664 (backward-char)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4665 (verilog-backward-open-bracket)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4666 t)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4667
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4668 (;-- Could be 'case (foo)' or 'always @(bar)' which is complete
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4669 ; also could be simply '@(foo)'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4670 ; or foo u1 #(a=8)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4671 ; (b, ... which ISN'T complete
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4672 ;;;; Do we need this???
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4673 (= (preceding-char) ?\))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4674 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4675 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4676 (backward-up-list 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4677 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4678 (let ((back (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4679 (forward-word -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4680 (cond
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4681 ;;XX
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4682 ((looking-at "\\<\\(always\\(_latch\\|_ff\\|_comb\\)?\\|case\\(\\|[xz]\\)\\|for\\(\\|each\\|ever\\)\\|i\\(f\\|nitial\\)\\|repeat\\|while\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4683 (not (looking-at "\\<randcase\\>\\|\\<case[xz]?\\>[^:]")))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4684 ((looking-at verilog-ovm-statement-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4685 nil)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4686 ((looking-at verilog-ovm-begin-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4687 t)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4688 ((looking-at verilog-ovm-end-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4689 t)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4690 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4691 (goto-char back)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4692 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4693 ((= (preceding-char) ?\@)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4694 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4695 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4696 (verilog-backward-token)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4697 (not (looking-at "\\<\\(always\\(_latch\\|_ff\\|_comb\\)?\\|initial\\|while\\)\\>"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4698 ((= (preceding-char) ?\#)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4699 (backward-char))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4700 (t t)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4701
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4702 (;-- any of begin|initial|while are complete statements; 'begin : foo' is also complete
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4703 t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4704 (forward-word -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4705 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4706 ((looking-at "\\<else\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4707 t)
80171
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
4708 ((looking-at verilog-behavioral-block-beg-re)
97019d686b43 * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80165
diff changeset
4709 t)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4710 ((looking-at verilog-indent-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4711 nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4712 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4713 (let
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4714 ((back (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4715 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4716 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4717 ((= (preceding-char) ?\:)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4718 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4719 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4720 (backward-sexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4721 (if (looking-at verilog-nameable-item-re )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4722 nil
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4723 t))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4724 ((= (preceding-char) ?\#)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4725 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4726 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4727 ((= (preceding-char) ?\`)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4728 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4729 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4730
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4731 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4732 (goto-char back)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4733 t))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4734
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4735 (defun verilog-backward-syntactic-ws (&optional bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4736 "Backward skip over syntactic whitespace for Emacs 19.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4737 Optional BOUND limits search."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4738 (save-restriction
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4739 (let* ((bound (or bound (point-min))) (here bound) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4740 (if (< bound (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4741 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4742 (narrow-to-region bound (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4743 (while (/= here (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4744 (setq here (point))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4745 (verilog-skip-backward-comments))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4746 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4747
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4748 (defun verilog-forward-syntactic-ws (&optional bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4749 "Forward skip over syntactic whitespace for Emacs 19.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4750 Optional BOUND limits search."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4751 (save-restriction
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4752 (let* ((bound (or bound (point-max)))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4753 (here bound))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4754 (if (> bound (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4755 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4756 (narrow-to-region (point) bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4757 (while (/= here (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4758 (setq here (point))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4759 (forward-comment (buffer-size))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4760
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4761 (defun verilog-backward-ws&directives (&optional bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4762 "Backward skip over syntactic whitespace and compiler directives for Emacs 19.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4763 Optional BOUND limits search."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4764 (save-restriction
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4765 (let* ((bound (or bound (point-min)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4766 (here bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4767 (p nil) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4768 (if (< bound (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4769 (progn
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
4770 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4771 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4772 ((nth 7 state) ;; in // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4773 (verilog-re-search-backward "//" nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4774 (skip-chars-backward "/"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4775 ((nth 4 state) ;; in /* */ comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4776 (verilog-re-search-backward "/\*" nil 'move))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4777 (narrow-to-region bound (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4778 (while (/= here (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4779 (setq here (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4780 (verilog-skip-backward-comments)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4781 (setq p
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4782 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4783 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4784 (cond
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4785 ((and verilog-highlight-translate-off
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4786 (verilog-within-translate-off))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4787 (verilog-back-to-start-translate-off (point-min)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4788 ((looking-at verilog-directive-re-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4789 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4790 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4791 nil))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4792 (if p (goto-char p))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4793
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4794 (defun verilog-forward-ws&directives (&optional bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4795 "Forward skip over syntactic whitespace and compiler directives for Emacs 19.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4796 Optional BOUND limits search."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4797 (save-restriction
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4798 (let* ((bound (or bound (point-max)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4799 (here bound)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4800 jump)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4801 (if (> bound (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4802 (progn
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
4803 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4804 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4805 ((nth 7 state) ;; in // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4806 (verilog-re-search-forward "//" nil 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4807 ((nth 4 state) ;; in /* */ comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4808 (verilog-re-search-forward "/\*" nil 'move))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4809 (narrow-to-region (point) bound)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4810 (while (/= here (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4811 (setq here (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4812 jump nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4813 (forward-comment (buffer-size))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4814 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4815 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4816 (if (looking-at verilog-directive-re-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4817 (setq jump t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4818 (if jump
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4819 (beginning-of-line 2))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4820
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4821 (defun verilog-in-comment-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4822 "Return true if in a star or // comment."
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
4823 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4824 (or (nth 4 state) (nth 7 state))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4825
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4826 (defun verilog-in-star-comment-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4827 "Return true if in a star comment."
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
4828 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4829 (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4830 (nth 4 state) ; t if in a comment of style a // or b /**/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4831 (not
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4832 (nth 7 state) ; t if in a comment of style b /**/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4833 ))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4834
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4835 (defun verilog-in-slash-comment-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4836 "Return true if in a slash comment."
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
4837 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4838 (nth 7 state)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4839
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4840 (defun verilog-in-comment-or-string-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4841 "Return true if in a string or comment."
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
4842 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4843 (or (nth 3 state) (nth 4 state) (nth 7 state)))) ; Inside string or comment)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4844
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4845 (defun verilog-in-escaped-name-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4846 "Return true if in an escaped name."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4847 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4848 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4849 (skip-chars-backward "^ \t\n\f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4850 (if (equal (char-after (point) ) ?\\ )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4851 t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4852 nil)))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4853 (defun verilog-in-directive-p ()
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4854 "Return true if in a star or // comment."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4855 (save-excursion
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4856 (beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4857 (looking-at verilog-directive-re-1)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4858
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4859 (defun verilog-in-paren ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4860 "Return true if in a parenthetical expression."
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
4861 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4862 (> (nth 0 state) 0 )))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4863
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4864 (defun verilog-in-struct-p ()
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4865 "Return true if in a struct declaration."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4866 (interactive)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4867 (save-excursion
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4868 (if (verilog-in-paren)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4869 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4870 (backward-up-list 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4871 (verilog-at-struct-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4872 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4873 nil)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4874
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4875 (defun verilog-in-coverage-p ()
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4876 "Return true if in a constraint or coverpoint expression."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4877 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4878 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4879 (if (verilog-in-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4880 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4881 (backward-up-list 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4882 (verilog-at-constraint-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4883 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4884 nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4885 (defun verilog-at-close-constraint-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4886 "If at the } that closes a constraint or covergroup, return true."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4887 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4888 (equal (char-after) ?\})
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4889 (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4890
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4891 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4892 (verilog-backward-ws&directives)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4893 (if (equal (char-before) ?\;)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4894 (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4895 nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4896
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4897 (defun verilog-at-constraint-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4898 "If at the { of a constraint or coverpoint definition, return true, moving point to constraint."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4899 (if (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4900 (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4901 (equal (char-after) ?\{)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4902 (forward-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4903 (progn (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4904 (verilog-backward-ws&directives)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4905 (equal (char-before) ?\;))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4906 ;; maybe
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4907 (verilog-re-search-backward "\\<constraint\\|coverpoint\\|cross\\>" nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4908 ;; not
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4909 nil))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4910
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4911 (defun verilog-at-struct-p ()
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4912 "If at the { of a struct, return true, moving point to struct."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4913 (save-excursion
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4914 (if (and (equal (char-after) ?\{)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4915 (verilog-backward-token))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4916 (looking-at "\\<struct\\|union\\|packed\\>")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4917 nil)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
4918
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4919 (defun verilog-parenthesis-depth ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4920 "Return non zero if in parenthetical-expression."
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
4921 (save-excursion (nth 1 (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4922
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4923
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4924 (defun verilog-skip-forward-comment-or-string ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4925 "Return true if in a string or comment."
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
4926 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4927 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4928 ((nth 3 state) ;Inside string
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
4929 (search-forward "\"")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4930 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4931 ((nth 7 state) ;Inside // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4932 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4933 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4934 ((nth 4 state) ;Inside any comment (hence /**/)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4935 (search-forward "*/"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4936 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4937 nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4938
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4939 (defun verilog-skip-backward-comment-or-string ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4940 "Return true if in a string or comment."
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
4941 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4942 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4943 ((nth 3 state) ;Inside string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4944 (search-backward "\"")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4945 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4946 ((nth 7 state) ;Inside // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4947 (search-backward "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4948 (skip-chars-backward "/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4949 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4950 ((nth 4 state) ;Inside /* */ comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4951 (search-backward "/*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4952 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4953 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4954 nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4955
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4956 (defun verilog-skip-backward-comments ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4957 "Return true if a comment was skipped."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4958 (let ((more t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4959 (while more
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4960 (setq more
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
4961 (let ((state (save-excursion (verilog-syntax-ppss))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4962 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4963 ((nth 7 state) ;Inside // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4964 (search-backward "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4965 (skip-chars-backward "/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4966 (skip-chars-backward " \t\n\f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4967 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4968 ((nth 4 state) ;Inside /* */ comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4969 (search-backward "/*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4970 (skip-chars-backward " \t\n\f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4971 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4972 ((and (not (bobp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4973 (= (char-before) ?\/)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
4974 (= (char-before (1- (point))) ?\*))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4975 (goto-char (- (point) 2))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4976 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4977 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4978 (skip-chars-backward " \t\n\f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4979 nil)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4980
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4981 (defun verilog-skip-forward-comment-p ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4982 "If in comment, move to end and return true."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4983 (let (state)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4984 (progn
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
4985 (setq state (save-excursion (verilog-syntax-ppss)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4986 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4987 ((nth 3 state)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4988 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4989 ((nth 7 state) ;Inside // comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4990 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4991 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4992 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4993 ((nth 4 state) ;Inside any comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4994 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4995 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4996 nil)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4997
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4998 (defun verilog-indent-line-relative ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
4999 "Cheap version of indent line.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5000 Only look at a few lines to determine indent level."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5001 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5002 (let ((indent-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5003 (sp (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5004 (if (looking-at "^[ \t]*$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5005 (cond ;- A blank line; No need to be too smart.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5006 ((bobp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5007 (setq indent-str (list 'cpp 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5008 ((verilog-continued-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5009 (let ((sp1 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5010 (if (verilog-continued-line)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5011 (progn
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5012 (goto-char sp)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5013 (setq indent-str
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5014 (list 'statement (verilog-current-indent-level))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5015 (goto-char sp1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5016 (setq indent-str (list 'block (verilog-current-indent-level)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5017 (goto-char sp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5018 ((goto-char sp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5019 (setq indent-str (verilog-calculate-indent))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5020 (progn (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5021 (setq indent-str (verilog-calculate-indent))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5022 (verilog-do-indent indent-str)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5023
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5024 (defun verilog-indent-line ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5025 "Indent for special part of code."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5026 (verilog-do-indent (verilog-calculate-indent)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5027
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5028 (defun verilog-do-indent (indent-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5029 (let ((type (car indent-str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5030 (ind (car (cdr indent-str))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5031 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5032 (; handle continued exp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5033 (eq type 'cexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5034 (let ((here (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5035 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5036 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5037 ((or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5038 (= (preceding-char) ?\,)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5039 (= (preceding-char) ?\])
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5040 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5041 (verilog-beg-of-statement-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5042 (looking-at verilog-declaration-re)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5043 (let* ( fst
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5044 (val
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5045 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5046 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5047 (verilog-beg-of-statement-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5048 (setq fst (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5049 (if (looking-at verilog-declaration-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5050 (progn ;; we have multiple words
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5051 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5052 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5053 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5054 ((and verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5055 (= (following-char) ?\`))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5056 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5057 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5058 (forward-word 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5059 (skip-chars-forward " \t")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5060 ((= (following-char) ?\[)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5061 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5062 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5063 (backward-up-list -1)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5064 (skip-chars-forward " \t"))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5065 (current-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5066 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5067 (goto-char fst)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5068 (+ (current-column) verilog-cexp-indent))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5069 (goto-char here)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5070 (indent-line-to val)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5071 ((= (preceding-char) ?\) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5072 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5073 (let ((val (eval (cdr (assoc type verilog-indent-alist)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5074 (indent-line-to val)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5075 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5076 (goto-char here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5077 (let ((val))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5078 (verilog-beg-of-statement-1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5079 (if (and (< (point) here)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5080 (verilog-re-search-forward "=[ \\t]*" here 'move))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5081 (setq val (current-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5082 (setq val (eval (cdr (assoc type verilog-indent-alist)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5083 (goto-char here)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5084 (indent-line-to val))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5085
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5086 (; handle inside parenthetical expressions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5087 (eq type 'cparenexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5088 (let ((val (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5089 (backward-up-list 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5090 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5091 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5092 (current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5093 (indent-line-to val)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
5094 ))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5095
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5096 (;-- Handle the ends
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5097 (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5098 (looking-at verilog-end-block-re )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5099 (verilog-at-close-constraint-p))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5100 (let ((val (if (eq type 'statement)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5101 (- ind verilog-indent-level)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5102 ind)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5103 (indent-line-to val)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5104
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5105 (;-- Case -- maybe line 'em up
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5106 (and (eq type 'case) (not (looking-at "^[ \t]*$")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5107 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5108 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5109 ((looking-at "\\<endcase\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5110 (indent-line-to ind))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5111 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5112 (let ((val (eval (cdr (assoc type verilog-indent-alist)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5113 (indent-line-to val))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5114
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5115 (;-- defun
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5116 (and (eq type 'defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5117 (looking-at verilog-zero-indent-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5118 (indent-line-to 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5119
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5120 (;-- declaration
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5121 (and (or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5122 (eq type 'defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5123 (eq type 'block))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5124 (looking-at verilog-declaration-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5125 (verilog-indent-declaration ind))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5126
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5127 (;-- Everything else
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5128 t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5129 (let ((val (eval (cdr (assoc type verilog-indent-alist)))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5130 (indent-line-to val))))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5131
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5132 (if (looking-at "[ \t]+$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5133 (skip-chars-forward " \t"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5134 indent-str ; Return indent data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5135 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5136
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5137 (defun verilog-current-indent-level ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5138 "Return the indent-level of the current statement."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5139 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5140 (let (par-pos)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5141 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5142 (setq par-pos (verilog-parenthesis-depth))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5143 (while par-pos
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5144 (goto-char par-pos)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5145 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5146 (setq par-pos (verilog-parenthesis-depth)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5147 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5148 (current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5149
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5150 (defun verilog-case-indent-level ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5151 "Return the indent-level of the current statement.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5152 Do not count named blocks or case-statements."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5153 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5154 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5155 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5156 ((looking-at verilog-named-block-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5157 (current-column))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5158 ((and (not (looking-at verilog-extended-case-re))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5159 (looking-at "^[^:;]+[ \t]*:"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5160 (verilog-re-search-forward ":" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5161 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5162 (current-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5163 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5164 (current-column)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5165
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5166 (defun verilog-indent-comment ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5167 "Indent current line as comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5168 (let* ((stcol
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5169 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5170 ((verilog-in-star-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5171 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5172 (re-search-backward "/\\*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5173 (1+(current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5174 (comment-column
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5175 comment-column )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5176 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5177 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5178 (re-search-backward "//" nil t)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5179 (current-column))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5180 (indent-line-to stcol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5181 stcol))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5182
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5183 (defun verilog-more-comment ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5184 "Make more comment lines like the previous."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5185 (let* ((star 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5186 (stcol
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5187 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5188 ((verilog-in-star-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5189 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5190 (setq star 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5191 (re-search-backward "/\\*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5192 (1+(current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5193 (comment-column
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5194 comment-column )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5195 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5196 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5197 (re-search-backward "//" nil t)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5198 (current-column))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5199 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5200 (indent-to stcol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5201 (if (and star
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5202 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5203 (forward-line -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5204 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5205 (looking-at "\*")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5206 (insert "* ")))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5207
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5208 (defun verilog-comment-indent (&optional arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5209 "Return the column number the line should be indented to.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5210 ARG is ignored, for `comment-indent-function' compatibility."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5211 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5212 ((verilog-in-star-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5213 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5214 (re-search-backward "/\\*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5215 (1+(current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5216 ( comment-column
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5217 comment-column )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5218 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5219 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5220 (re-search-backward "//" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5221 (current-column)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5222
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5223 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5224
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5225 (defun verilog-pretty-declarations (&optional quiet)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5226 "Line up declarations around point.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5227 Be verbose about progress unless optional QUIET set."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5228 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5229 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5230 (if (progn
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5231 (verilog-beg-of-statement-1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5232 (and (not (verilog-in-directive-p)) ;; could have `define input foo
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5233 (not (verilog-parenthesis-depth)) ;; could be in a #(param block )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5234 (looking-at verilog-declaration-re)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5235 (let* ((m1 (make-marker))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5236 (e (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5237 (r)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5238 (here (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5239 ;; Start of declaration range
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5240 (start
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5241 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5242 (verilog-beg-of-statement-1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5243 (while (and (looking-at verilog-declaration-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5244 (not (bobp)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5245 (skip-chars-backward " \t")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5246 (setq e (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5247 (beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5248 (verilog-backward-syntactic-ws)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5249 (backward-char)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5250 (verilog-beg-of-statement-1))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5251 e))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5252 ;; End of declaration range
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5253 (end
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5254 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5255 (goto-char here)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5256 (verilog-end-of-statement)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5257 (setq e (point)) ;Might be on last line
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5258 (verilog-forward-syntactic-ws)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5259 (while (looking-at verilog-declaration-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5260 ;;(beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5261 (verilog-end-of-statement)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5262 (setq e (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5263 (verilog-forward-syntactic-ws))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5264 e))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5265 (edpos (set-marker (make-marker) end))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5266 (ind)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5267 (base-ind
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5268 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5269 (goto-char start)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5270 (verilog-do-indent (verilog-calculate-indent))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5271 (verilog-forward-ws&directives)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5272 (current-column))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5273 (goto-char start)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5274 (if (and (not quiet)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5275 (> (- end start) 100))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5276 (message "Lining up declarations..(please stand by)"))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5277 ;; Get the beginning of line indent first
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5278 (while (progn (setq e (marker-position edpos))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5279 (< (point) e))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5280 (cond
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5281 ( (save-excursion (skip-chars-backward " \t")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5282 (bolp))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5283 (verilog-forward-ws&directives)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5284 (indent-line-to base-ind)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5285 (verilog-forward-ws&directives)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5286 (verilog-re-search-forward "[ \t\n\f]" e 'move))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5287 (t
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5288 (just-one-space)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5289 (verilog-re-search-forward "[ \t\n\f]" e 'move)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5290 ;;(forward-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5291 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5292 ;; Now find biggest prefix
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5293 (setq ind (verilog-get-lineup-indent start edpos))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5294 ;; Now indent each line.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5295 (goto-char start)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5296 (while (progn (setq e (marker-position edpos))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5297 (setq r (- e (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5298 (> r 0))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5299 (setq e (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5300 (unless quiet (message "%d" r))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5301 (verilog-indent-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5302 (cond
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5303 ((or (and verilog-indent-declaration-macros
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5304 (looking-at verilog-declaration-re-2-macro))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5305 (looking-at verilog-declaration-re-2-no-macro))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5306 (let ((p (match-end 0)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5307 (set-marker m1 p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5308 (if (verilog-re-search-forward "[[#`]" p 'move)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5309 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5310 (forward-char -1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5311 (just-one-space)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5312 (goto-char (marker-position m1))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5313 (just-one-space)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5314 (indent-to ind))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5315 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5316 (just-one-space)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5317 (indent-to ind)))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5318 ((verilog-continued-line-1 start)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5319 (goto-char e)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5320 (indent-line-to ind))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5321 ((verilog-in-struct-p)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5322 ;; could have a declaration of a user defined item
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5323 (goto-char e)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5324 (verilog-end-of-statement))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5325 (t ; Must be comment or white space
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5326 (goto-char e)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5327 (verilog-forward-ws&directives)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5328 (forward-line -1)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5329 (forward-line 1))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5330 (unless quiet (message ""))))))
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5331
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5332 (defun verilog-pretty-expr (&optional quiet myre)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5333 "Line up expressions around point, or optional regexp MYRE."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5334 (interactive "sRegular Expression: ((<|:)?=) ")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5335 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5336 (if (or (eq myre nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5337 (string-equal myre ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5338 (setq myre "\\(<\\|:\\)?="))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5339 (setq myre (concat "\\(^[^;#:<=>]*\\)\\(" myre "\\)"))
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5340 (let ((rexp(concat "^\\s-*" verilog-complete-reg)))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5341 (beginning-of-line)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5342 (if (and (not (looking-at rexp ))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5343 (looking-at myre)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5344 (save-excursion
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5345 (goto-char (match-beginning 2))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5346 (not (verilog-in-comment-or-string-p))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5347 (let* ((here (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5348 (e) (r)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5349 (start
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5350 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5351 (beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5352 (setq e (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5353 (verilog-backward-syntactic-ws)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5354 (beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5355 (while (and (not (looking-at rexp ))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5356 (looking-at myre)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5357 (not (bobp))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5358 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5359 (setq e (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5360 (verilog-backward-syntactic-ws)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5361 (beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5362 ) ;Ack, need to grok `define
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5363 e))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5364 (end
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5365 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5366 (goto-char here)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5367 (end-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5368 (setq e (point)) ;Might be on last line
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5369 (verilog-forward-syntactic-ws)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5370 (beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5371 (while (and
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5372 (not (looking-at rexp ))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5373 (looking-at myre)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5374 (progn
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5375 (end-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5376 (not (eq e (point)))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5377 (setq e (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5378 (verilog-forward-syntactic-ws)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5379 (beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5380 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5381 e))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5382 (edpos (set-marker (make-marker) end))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5383 (ind)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5384 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5385 (goto-char start)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5386 (verilog-do-indent (verilog-calculate-indent))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5387 (if (and (not quiet)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5388 (> (- end start) 100))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5389 (message "Lining up expressions..(please stand by)"))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5390
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5391 ;; Set indent to minimum throughout region
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5392 (while (< (point) (marker-position edpos))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5393 (beginning-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5394 (verilog-just-one-space myre)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5395 (end-of-line)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5396 (verilog-forward-syntactic-ws)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5397 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5398
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5399 ;; Now find biggest prefix
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5400 (setq ind (verilog-get-lineup-indent-2 myre start edpos))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5401
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5402 ;; Now indent each line.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5403 (goto-char start)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5404 (while (progn (setq e (marker-position edpos))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5405 (setq r (- e (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5406 (> r 0))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5407 (setq e (point))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5408 (if (not quiet) (message "%d" r))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5409 (cond
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5410 ((looking-at myre)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5411 (goto-char (match-beginning 2))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5412 (if (not (verilog-parenthesis-depth)) ;; ignore parenthsized exprs
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5413 (if (eq (char-after) ?=)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5414 (indent-to (1+ ind)) ; line up the = of the <= with surrounding =
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5415 (indent-to ind)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5416 )))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5417 ((verilog-continued-line-1 start)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5418 (goto-char e)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5419 (indent-line-to ind))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5420 (t ; Must be comment or white space
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5421 (goto-char e)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5422 (verilog-forward-ws&directives)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5423 (forward-line -1))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5424 )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5425 (forward-line 1))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5426 (unless quiet (message ""))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5427 )))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5428
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5429 (defun verilog-just-one-space (myre)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5430 "Remove extra spaces around regular expression MYRE."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5431 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5432 (if (and (not(looking-at verilog-complete-reg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5433 (looking-at myre))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5434 (let ((p1 (match-end 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5435 (p2 (match-end 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5436 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5437 (goto-char p2)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5438 (if (looking-at "\\s-") (just-one-space))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5439 (goto-char p1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5440 (forward-char -1)
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5441 (if (looking-at "\\s-") (just-one-space))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5442 ))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5443
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5444 (defun verilog-indent-declaration (baseind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5445 "Indent current lines as declaration.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5446 Line up the variable names based on previous declaration's indentation.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5447 BASEIND is the base indent to offset everything."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5448 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5449 (let ((pos (point-marker))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5450 (lim (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5451 ;; (verilog-re-search-backward verilog-declaration-opener nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5452 (verilog-re-search-backward "\\(\\<begin\\>\\)\\|\\(\\<module\\>\\)\\|\\(\\<task\\>\\)" nil 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5453 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5454 (ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5455 (val)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5456 (m1 (make-marker)))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5457 (setq val
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5458 (+ baseind (eval (cdr (assoc 'declaration verilog-indent-alist)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5459 (indent-line-to val)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5460
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5461 ;; Use previous declaration (in this module) as template.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5462 (if (or (eq 'all verilog-auto-lineup)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
5463 (eq 'declarations verilog-auto-lineup))
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
5464 (if (verilog-re-search-backward
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5465 (or (and verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5466 verilog-declaration-re-1-macro)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5467 verilog-declaration-re-1-no-macro) lim t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5468 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5469 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5470 (skip-chars-forward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5471 (setq ind (current-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5472 (goto-char pos)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5473 (setq val
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5474 (+ baseind
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5475 (eval (cdr (assoc 'declaration verilog-indent-alist)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5476 (indent-line-to val)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5477 (if (and verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5478 (looking-at verilog-declaration-re-2-macro))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5479 (let ((p (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5480 (set-marker m1 p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5481 (if (verilog-re-search-forward "[[#`]" p 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5482 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5483 (forward-char -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5484 (just-one-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5485 (goto-char (marker-position m1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5486 (just-one-space)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5487 (indent-to ind))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5488 (if (/= (current-column) ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5489 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5490 (just-one-space)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5491 (indent-to ind)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5492 (if (looking-at verilog-declaration-re-2-no-macro)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5493 (let ((p (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5494 (set-marker m1 p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5495 (if (verilog-re-search-forward "[[`#]" p 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5496 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5497 (forward-char -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5498 (just-one-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5499 (goto-char (marker-position m1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5500 (just-one-space)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5501 (indent-to ind))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5502 (if (/= (current-column) ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5503 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5504 (just-one-space)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5505 (indent-to ind))))))))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
5506 (goto-char pos)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5507
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5508 (defun verilog-get-lineup-indent (b edpos)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5509 "Return the indent level that will line up several lines within the region.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5510 Region is defined by B and EDPOS."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5511 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5512 (let ((ind 0) e)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5513 (goto-char b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5514 ;; Get rightmost position
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5515 (while (progn (setq e (marker-position edpos))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5516 (< (point) e))
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
5517 (if (verilog-re-search-forward
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5518 (or (and verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5519 verilog-declaration-re-1-macro)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5520 verilog-declaration-re-1-no-macro) e 'move)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5521 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5522 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5523 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5524 (if (> (current-column) ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5525 (setq ind (current-column)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5526 (goto-char (match-end 0)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5527 (if (> ind 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5528 (1+ ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5529 ;; No lineup-string found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5530 (goto-char b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5531 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5532 (skip-chars-backward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5533 (1+ (current-column))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5534
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5535 (defun verilog-get-lineup-indent-2 (myre b edpos)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5536 "Return the indent level that will line up several lines within the region."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5537 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5538 (let ((ind 0) e)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5539 (goto-char b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5540 ;; Get rightmost position
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5541 (while (progn (setq e (marker-position edpos))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5542 (< (point) e))
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5543 (if (and (verilog-re-search-forward myre e 'move)
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5544 (not (verilog-parenthesis-depth))) ;; skip parenthsized exprs
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5545 (progn
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5546 (goto-char (match-beginning 2))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5547 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5548 (if (> (current-column) ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5549 (setq ind (current-column)))
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5550 (goto-char (match-end 0)))
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
5551 ))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5552 (if (> ind 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5553 (1+ ind)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5554 ;; No lineup-string found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5555 (goto-char b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5556 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5557 (skip-chars-backward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5558 (1+ (current-column))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5559
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5560 (defun verilog-comment-depth (type val)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5561 "A useful mode debugging aide. TYPE and VAL are comments for insertion."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5562 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5563 (let
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5564 ((b (prog2
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5565 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5566 (point-marker)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5567 (end-of-line)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5568 (e (point-marker)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5569 (if (re-search-backward " /\\* \[#-\]# \[a-zA-Z\]+ \[0-9\]+ ## \\*/" b t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5570 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5571 (replace-match " /* -# ## */")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5572 (end-of-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5573 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5574 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5575 (insert " /* ## ## */"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5576 (backward-char 6)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5577 (insert
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5578 (format "%s %d" type val))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5579
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5580 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5581 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5582 ;; Completion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5583 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5584 (defvar verilog-str nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5585 (defvar verilog-all nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5586 (defvar verilog-pred nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5587 (defvar verilog-buffer-to-use nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5588 (defvar verilog-flag nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5589 (defvar verilog-toggle-completions nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5590 "*True means \\<verilog-mode-map>\\[verilog-complete-word] should try all possible completions one by one.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5591 Repeated use of \\[verilog-complete-word] will show you all of them.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5592 Normally, when there is more than one possible completion,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5593 it displays a list of all possible completions.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5594
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5595
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5596 (defvar verilog-type-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5597 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5598 "and" "buf" "bufif0" "bufif1" "cmos" "defparam" "inout" "input"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5599 "integer" "localparam" "logic" "mailbox" "nand" "nmos" "nor" "not" "notif0"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5600 "notif1" "or" "output" "parameter" "pmos" "pull0" "pull1" "pullup"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5601 "rcmos" "real" "realtime" "reg" "rnmos" "rpmos" "rtran" "rtranif0"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5602 "rtranif1" "semaphore" "time" "tran" "tranif0" "tranif1" "tri" "tri0" "tri1"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5603 "triand" "trior" "trireg" "wand" "wire" "wor" "xnor" "xor"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5604 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5605 "*Keywords for types used when completing a word in a declaration or parmlist.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5606 \(Eg. integer, real, reg...)")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5607
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5608 (defvar verilog-cpp-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5609 '("module" "macromodule" "primitive" "timescale" "define" "ifdef" "ifndef" "else"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5610 "endif")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5611 "*Keywords to complete when at first word of a line in declarative scope.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5612 \(Eg. initial, always, begin, assign.)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5613 The procedures and variables defined within the Verilog program
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5614 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5615
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5616 (defvar verilog-defun-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5617 (append
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5618 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5619 "always" "always_comb" "always_ff" "always_latch" "assign"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5620 "begin" "end" "generate" "endgenerate" "module" "endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5621 "specify" "endspecify" "function" "endfunction" "initial" "final"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5622 "task" "endtask" "primitive" "endprimitive"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5623 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5624 verilog-type-keywords)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5625 "*Keywords to complete when at first word of a line in declarative scope.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5626 \(Eg. initial, always, begin, assign.)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5627 The procedures and variables defined within the Verilog program
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5628 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5629
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5630 (defvar verilog-block-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5631 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5632 "begin" "break" "case" "continue" "else" "end" "endfunction"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5633 "endgenerate" "endinterface" "endpackage" "endspecify" "endtask"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5634 "for" "fork" "if" "join" "join_any" "join_none" "repeat" "return"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5635 "while")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5636 "*Keywords to complete when at first word of a line in behavioral scope.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5637 \(Eg. begin, if, then, else, for, fork.)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5638 The procedures and variables defined within the Verilog program
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5639 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5640
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5641 (defvar verilog-tf-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5642 '("begin" "break" "fork" "join" "join_any" "join_none" "case" "end" "endtask" "endfunction" "if" "else" "for" "while" "repeat")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5643 "*Keywords to complete when at first word of a line in a task or function.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5644 \(Eg. begin, if, then, else, for, fork.)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5645 The procedures and variables defined within the Verilog program
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5646 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5647
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5648 (defvar verilog-case-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5649 '("begin" "fork" "join" "join_any" "join_none" "case" "end" "endcase" "if" "else" "for" "repeat")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5650 "*Keywords to complete when at first word of a line in case scope.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5651 \(Eg. begin, if, then, else, for, fork.)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5652 The procedures and variables defined within the Verilog program
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5653 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5654
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5655 (defvar verilog-separator-keywords
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5656 '("else" "then" "begin")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5657 "*Keywords to complete when NOT standing at the first word of a statement.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5658 \(Eg. else, then.)
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5659 Variables and function names defined within the Verilog program
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5660 will be completed at runtime and should not be added to this list.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5661
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5662 (defun verilog-string-diff (str1 str2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5663 "Return index of first letter where STR1 and STR2 differs."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5664 (catch 'done
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5665 (let ((diff 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5666 (while t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5667 (if (or (> (1+ diff) (length str1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5668 (> (1+ diff) (length str2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5669 (throw 'done diff))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5670 (or (equal (aref str1 diff) (aref str2 diff))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5671 (throw 'done diff))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5672 (setq diff (1+ diff))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5673
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5674 ;; Calculate all possible completions for functions if argument is `function',
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5675 ;; completions for procedures if argument is `procedure' or both functions and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5676 ;; procedures otherwise.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5677
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5678 (defun verilog-func-completion (type)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5679 "Build regular expression for module/task/function names.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5680 TYPE is 'module, 'tf for task or function, or t if unknown."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5681 (if (string= verilog-str "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5682 (setq verilog-str "[a-zA-Z_]"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5683 (let ((verilog-str (concat (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5684 ((eq type 'module) "\\<\\(module\\)\\s +")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5685 ((eq type 'tf) "\\<\\(task\\|function\\)\\s +")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5686 (t "\\<\\(task\\|function\\|module\\)\\s +"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5687 "\\<\\(" verilog-str "[a-zA-Z0-9_.]*\\)\\>"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5688 match)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5689
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5690 (if (not (looking-at verilog-defun-re))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5691 (verilog-re-search-backward verilog-defun-re nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5692 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5693
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5694 ;; Search through all reachable functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5695 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5696 (while (verilog-re-search-forward verilog-str (point-max) t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5697 (progn (setq match (buffer-substring (match-beginning 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5698 (match-end 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5699 (if (or (null verilog-pred)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5700 (funcall verilog-pred match))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5701 (setq verilog-all (cons match verilog-all)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5702 (if (match-beginning 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5703 (goto-char (match-beginning 0)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5704
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5705 (defun verilog-get-completion-decl (end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5706 "Macro for searching through current declaration (var, type or const)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5707 for matches of `str' and adding the occurrence tp `all' through point END."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5708 (let ((re (or (and verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5709 verilog-declaration-re-2-macro)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5710 verilog-declaration-re-2-no-macro))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5711 decl-end match)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5712 ;; Traverse lines
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5713 (while (and (< (point) end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5714 (verilog-re-search-forward re end t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5715 ;; Traverse current line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5716 (setq decl-end (save-excursion (verilog-declaration-end)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5717 (while (and (verilog-re-search-forward verilog-symbol-re decl-end t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5718 (not (match-end 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5719 (setq match (buffer-substring (match-beginning 0) (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5720 (if (string-match (concat "\\<" verilog-str) match)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5721 (if (or (null verilog-pred)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5722 (funcall verilog-pred match))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5723 (setq verilog-all (cons match verilog-all)))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5724 (forward-line 1)))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
5725 verilog-all)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5726
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5727 (defun verilog-type-completion ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5728 "Calculate all possible completions for types."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5729 (let ((start (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5730 goon)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5731 ;; Search for all reachable type declarations
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5732 (while (or (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5733 (setq goon (not goon)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5734 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5735 (if (and (< start (prog1 (save-excursion (verilog-end-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5736 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5737 (forward-char 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5738 (verilog-re-search-forward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5739 "\\<type\\>\\|\\<\\(begin\\|function\\|procedure\\)\\>"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5740 start t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5741 (not (match-end 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5742 ;; Check current type declaration
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5743 (verilog-get-completion-decl start))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5744
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5745 (defun verilog-var-completion ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5746 "Calculate all possible completions for variables (or constants)."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5747 (let ((start (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5748 ;; Search for all reachable var declarations
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5749 (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5750 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5751 ;; Check var declarations
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5752 (verilog-get-completion-decl start))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5753
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5754 (defun verilog-keyword-completion (keyword-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5755 "Give list of all possible completions of keywords in KEYWORD-LIST."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5756 (mapcar '(lambda (s)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5757 (if (string-match (concat "\\<" verilog-str) s)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5758 (if (or (null verilog-pred)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5759 (funcall verilog-pred s))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5760 (setq verilog-all (cons s verilog-all)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5761 keyword-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5762
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5763
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5764 (defun verilog-completion (verilog-str verilog-pred verilog-flag)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5765 "Function passed to `completing-read', `try-completion' or `all-completions'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5766 Called to get completion on VERILOG-STR. If VERILOG-PRED is non-nil, it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5767 must be a function to be called for every match to check if this should
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5768 really be a match. If VERILOG-FLAG is t, the function returns a list of
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5769 all possible completions. If VERILOG-FLAG is nil it returns a string,
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5770 the longest possible completion, or t if VERILOG-STR is an exact match.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5771 If VERILOG-FLAG is 'lambda, the function returns t if VERILOG-STR is an
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
5772 exact match, nil otherwise."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5773 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5774 (let ((verilog-all nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5775 ;; Set buffer to use for searching labels. This should be set
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5776 ;; within functions which use verilog-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5777 (set-buffer verilog-buffer-to-use)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5778
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5779 ;; Determine what should be completed
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5780 (let ((state (car (verilog-calculate-indent))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5781 (cond ((eq state 'defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5782 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5783 (verilog-func-completion 'module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5784 (verilog-keyword-completion verilog-defun-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5785
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5786 ((eq state 'behavioral)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5787 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5788 (verilog-func-completion 'module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5789 (verilog-keyword-completion verilog-defun-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5790
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5791 ((eq state 'block)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5792 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5793 (verilog-func-completion 'tf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5794 (verilog-keyword-completion verilog-block-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5795
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5796 ((eq state 'case)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5797 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5798 (verilog-func-completion 'tf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5799 (verilog-keyword-completion verilog-case-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5800
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5801 ((eq state 'tf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5802 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5803 (verilog-func-completion 'tf)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5804 (verilog-keyword-completion verilog-tf-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5805
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5806 ((eq state 'cpp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5807 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5808 (verilog-keyword-completion verilog-cpp-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5809
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5810 ((eq state 'cparenexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5811 (save-excursion (verilog-var-completion)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5812
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5813 (t;--Anywhere else
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5814 (save-excursion (verilog-var-completion))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5815 (verilog-func-completion 'both)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5816 (verilog-keyword-completion verilog-separator-keywords))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5817
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5818 ;; Now we have built a list of all matches. Give response to caller
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5819 (verilog-completion-response))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5820
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5821 (defun verilog-completion-response ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5822 (cond ((or (equal verilog-flag 'lambda) (null verilog-flag))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5823 ;; This was not called by all-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5824 (if (null verilog-all)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5825 ;; Return nil if there was no matching label
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5826 nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5827 ;; Get longest string common in the labels
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5828 (let* ((elm (cdr verilog-all))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5829 (match (car verilog-all))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5830 (min (length match))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5831 tmp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5832 (if (string= match verilog-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5833 ;; Return t if first match was an exact match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5834 (setq match t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5835 (while (not (null elm))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5836 ;; Find longest common string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5837 (if (< (setq tmp (verilog-string-diff match (car elm))) min)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5838 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5839 (setq min tmp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5840 (setq match (substring match 0 min))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5841 ;; Terminate with match=t if this is an exact match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5842 (if (string= (car elm) verilog-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5843 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5844 (setq match t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5845 (setq elm nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5846 (setq elm (cdr elm)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5847 ;; If this is a test just for exact match, return nil ot t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5848 (if (and (equal verilog-flag 'lambda) (not (equal match 't)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5849 nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5850 match))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5851 ;; If flag is t, this was called by all-completions. Return
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5852 ;; list of all possible completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5853 (verilog-flag
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5854 verilog-all)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5855
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5856 (defvar verilog-last-word-numb 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5857 (defvar verilog-last-word-shown nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5858 (defvar verilog-last-completions nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5859
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5860 (defun verilog-complete-word ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5861 "Complete word at current point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5862 \(See also `verilog-toggle-completions', `verilog-type-keywords',
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5863 and `verilog-separator-keywords'.)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5864 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5865 (let* ((b (save-excursion (skip-chars-backward "a-zA-Z0-9_") (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5866 (e (save-excursion (skip-chars-forward "a-zA-Z0-9_") (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5867 (verilog-str (buffer-substring b e))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5868 ;; The following variable is used in verilog-completion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5869 (verilog-buffer-to-use (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5870 (allcomp (if (and verilog-toggle-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5871 (string= verilog-last-word-shown verilog-str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5872 verilog-last-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5873 (all-completions verilog-str 'verilog-completion)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5874 (match (if verilog-toggle-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5875 "" (try-completion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5876 verilog-str (mapcar '(lambda (elm)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5877 (cons elm 0)) allcomp)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5878 ;; Delete old string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5879 (delete-region b e)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5880
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5881 ;; Toggle-completions inserts whole labels
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5882 (if verilog-toggle-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5883 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5884 ;; Update entry number in list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5885 (setq verilog-last-completions allcomp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5886 verilog-last-word-numb
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5887 (if (>= verilog-last-word-numb (1- (length allcomp)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5888 0
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5889 (1+ verilog-last-word-numb)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5890 (setq verilog-last-word-shown (elt allcomp verilog-last-word-numb))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5891 ;; Display next match or same string if no match was found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5892 (if (not (null allcomp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5893 (insert "" verilog-last-word-shown)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5894 (insert "" verilog-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5895 (message "(No match)")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5896 ;; The other form of completion does not necessarily do that.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5897
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5898 ;; Insert match if found, or the original string if no match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5899 (if (or (null match) (equal match 't))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5900 (progn (insert "" verilog-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5901 (message "(No match)"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5902 (insert "" match))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5903 ;; Give message about current status of completion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5904 (cond ((equal match 't)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5905 (if (not (null (cdr allcomp)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5906 (message "(Complete but not unique)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5907 (message "(Sole completion)")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5908 ;; Display buffer if the current completion didn't help
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5909 ;; on completing the label.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5910 ((and (not (null (cdr allcomp))) (= (length verilog-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5911 (length match)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5912 (with-output-to-temp-buffer "*Completions*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5913 (display-completion-list allcomp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5914 ;; Wait for a key press. Then delete *Completion* window
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5915 (momentary-string-display "" (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5916 (delete-window (get-buffer-window (get-buffer "*Completions*")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5917 )))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5918
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5919 (defun verilog-show-completions ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5920 "Show all possible completions at current point."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5921 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5922 (let* ((b (save-excursion (skip-chars-backward "a-zA-Z0-9_") (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5923 (e (save-excursion (skip-chars-forward "a-zA-Z0-9_") (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5924 (verilog-str (buffer-substring b e))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5925 ;; The following variable is used in verilog-completion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5926 (verilog-buffer-to-use (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5927 (allcomp (if (and verilog-toggle-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5928 (string= verilog-last-word-shown verilog-str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5929 verilog-last-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5930 (all-completions verilog-str 'verilog-completion))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5931 ;; Show possible completions in a temporary buffer.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5932 (with-output-to-temp-buffer "*Completions*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5933 (display-completion-list allcomp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5934 ;; Wait for a key press. Then delete *Completion* window
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5935 (momentary-string-display "" (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5936 (delete-window (get-buffer-window (get-buffer "*Completions*")))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5937
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5938
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5939 (defun verilog-get-default-symbol ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5940 "Return symbol around current point as a string."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5941 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5942 (buffer-substring (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5943 (skip-chars-backward " \t")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5944 (skip-chars-backward "a-zA-Z0-9_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5945 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5946 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5947 (skip-chars-forward "a-zA-Z0-9_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5948 (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5949
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5950 (defun verilog-build-defun-re (str &optional arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5951 "Return function/task/module starting with STR as regular expression.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5952 With optional second ARG non-nil, STR is the complete name of the instruction."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5953 (if arg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5954 (concat "^\\(function\\|task\\|module\\)[ \t]+\\(" str "\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5955 (concat "^\\(function\\|task\\|module\\)[ \t]+\\(" str "[a-zA-Z0-9_]*\\)\\>")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5956
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5957 (defun verilog-comp-defun (verilog-str verilog-pred verilog-flag)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5958 "Function passed to `completing-read', `try-completion' or `all-completions'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5959 Returns a completion on any function name based on VERILOG-STR prefix. If
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5960 VERILOG-PRED is non-nil, it must be a function to be called for every match
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5961 to check if this should really be a match. If VERILOG-FLAG is t, the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5962 function returns a list of all possible completions. If it is nil it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5963 returns a string, the longest possible completion, or t if VERILOG-STR is
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5964 an exact match. If VERILOG-FLAG is 'lambda, the function returns t if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5965 VERILOG-STR is an exact match, nil otherwise."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5966 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5967 (let ((verilog-all nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5968 match)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5969
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5970 ;; Set buffer to use for searching labels. This should be set
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5971 ;; within functions which use verilog-completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5972 (set-buffer verilog-buffer-to-use)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5973
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5974 (let ((verilog-str verilog-str))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5975 ;; Build regular expression for functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5976 (if (string= verilog-str "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5977 (setq verilog-str (verilog-build-defun-re "[a-zA-Z_]"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5978 (setq verilog-str (verilog-build-defun-re verilog-str)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5979 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5980
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5981 ;; Build a list of all possible completions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5982 (while (verilog-re-search-forward verilog-str nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5983 (setq match (buffer-substring (match-beginning 2) (match-end 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5984 (if (or (null verilog-pred)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5985 (funcall verilog-pred match))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5986 (setq verilog-all (cons match verilog-all)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5987
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5988 ;; Now we have built a list of all matches. Give response to caller
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5989 (verilog-completion-response))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5990
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5991 (defun verilog-goto-defun ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5992 "Move to specified Verilog module/task/function.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5993 The default is a name found in the buffer around point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5994 If search fails, other files are checked based on
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5995 `verilog-library-flags'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5996 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5997 (let* ((default (verilog-get-default-symbol))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5998 ;; The following variable is used in verilog-comp-function
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
5999 (verilog-buffer-to-use (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6000 (label (if (not (string= default ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6001 ;; Do completion with default
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6002 (completing-read (concat "Goto-Label: (default "
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6003 default ") ")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6004 'verilog-comp-defun nil nil "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6005 ;; There is no default value. Complete without it
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6006 (completing-read "Goto-Label: "
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6007 'verilog-comp-defun nil nil "")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6008 pt)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6009 ;; Make sure library paths are correct, in case need to resolve module
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6010 (verilog-auto-reeval-locals)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6011 (verilog-getopt-flags)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6012 ;; If there was no response on prompt, use default value
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6013 (if (string= label "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6014 (setq label default))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6015 ;; Goto right place in buffer if label is not an empty string
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6016 (or (string= label "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6017 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6018 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6019 (goto-char (point-min))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6020 (setq pt
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6021 (re-search-forward (verilog-build-defun-re label t) nil t)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6022 (when pt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6023 (goto-char pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6024 (beginning-of-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6025 pt)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6026 (verilog-goto-defun-file label))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6027
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6028 ;; Eliminate compile warning
80172
7d8f87158250 (eval-when-compile): Don't define
Dan Nicolaescu <dann@ics.uci.edu>
parents: 80171
diff changeset
6029 (defvar occur-pos-list)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6030
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6031 (defun verilog-showscopes ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6032 "List all scopes in this module."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6033 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6034 (let ((buffer (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6035 (linenum 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6036 (nlines 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6037 (first 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6038 (prevpos (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6039 (final-context-start (make-marker))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6040 (regexp "\\(module\\s-+\\w+\\s-*(\\)\\|\\(\\w+\\s-+\\w+\\s-*(\\)"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6041 (with-output-to-temp-buffer "*Occur*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6042 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6043 (message (format "Searching for %s ..." regexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6044 ;; Find next match, but give up if prev match was at end of buffer.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6045 (while (and (not (= prevpos (point-max)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6046 (verilog-re-search-forward regexp nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6047 (goto-char (match-beginning 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6048 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6049 (save-match-data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6050 (setq linenum (+ linenum (count-lines prevpos (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6051 (setq prevpos (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6052 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6053 (let* ((start (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6054 (goto-char (match-beginning 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6055 (forward-line (if (< nlines 0) nlines (- nlines)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6056 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6057 (end (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6058 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6059 (if (> nlines 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6060 (forward-line (1+ nlines))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6061 (forward-line 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6062 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6063 (tag (format "%3d" linenum))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6064 (empty (make-string (length tag) ?\ ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6065 tem)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6066 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6067 (setq tem (make-marker))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6068 (set-marker tem (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6069 (set-buffer standard-output)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6070 (setq occur-pos-list (cons tem occur-pos-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6071 (or first (zerop nlines)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6072 (insert "--------\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6073 (setq first nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6074 (insert-buffer-substring buffer start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6075 (backward-char (- end start))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6076 (setq tem (if (< nlines 0) (- nlines) nlines))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6077 (while (> tem 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6078 (insert empty ?:)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6079 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6080 (setq tem (1- tem)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6081 (let ((this-linenum linenum))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6082 (set-marker final-context-start
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6083 (+ (point) (- (match-end 0) (match-beginning 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6084 (while (< (point) final-context-start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6085 (if (null tag)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6086 (setq tag (format "%3d" this-linenum)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6087 (insert tag ?:)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6088 (set-buffer-modified-p nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6089
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6090
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6091 ;; Highlight helper functions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6092 (defconst verilog-directive-regexp "\\(translate\\|coverage\\|lint\\)_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6093 (defun verilog-within-translate-off ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6094 "Return point if within translate-off region, else nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6095 (and (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6096 (re-search-backward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6097 (concat "//\\s-*.*\\s-*" verilog-directive-regexp "\\(on\\|off\\)\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6098 nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6099 (equal "off" (match-string 2))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6100 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6101
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6102 (defun verilog-start-translate-off (limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6103 "Return point before translate-off directive if before LIMIT, else nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6104 (when (re-search-forward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6105 (concat "//\\s-*.*\\s-*" verilog-directive-regexp "off\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6106 limit t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6107 (match-beginning 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6108
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6109 (defun verilog-back-to-start-translate-off (limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6110 "Return point before translate-off directive if before LIMIT, else nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6111 (when (re-search-backward
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6112 (concat "//\\s-*.*\\s-*" verilog-directive-regexp "off\\>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6113 limit t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6114 (match-beginning 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6115
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6116 (defun verilog-end-translate-off (limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6117 "Return point after translate-on directive if before LIMIT, else nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6118
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6119 (re-search-forward (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6120 "//\\s-*.*\\s-*" verilog-directive-regexp "on\\>") limit t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6121
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6122 (defun verilog-match-translate-off (limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6123 "Match a translate-off block, setting `match-data' and returning t, else nil.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6124 Bound search by LIMIT."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6125 (when (< (point) limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6126 (let ((start (or (verilog-within-translate-off)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6127 (verilog-start-translate-off limit)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6128 (case-fold-search t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6129 (when start
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6130 (let ((end (or (verilog-end-translate-off limit) limit)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6131 (set-match-data (list start end))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6132 (goto-char end))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6133
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6134 (defun verilog-font-lock-match-item (limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6135 "Match, and move over, any declaration item after point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6136 Bound search by LIMIT. Adapted from
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6137 `font-lock-match-c-style-declaration-item-and-skip-to-next'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6138 (condition-case nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6139 (save-restriction
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6140 (narrow-to-region (point-min) limit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6141 ;; match item
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6142 (when (looking-at "\\s-*\\([a-zA-Z]\\w*\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6143 (save-match-data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6144 (goto-char (match-end 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6145 ;; move to next item
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6146 (if (looking-at "\\(\\s-*,\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6147 (goto-char (match-end 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6148 (end-of-line) t))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6149 (error nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6150
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6151
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6152 ;; Added by Subbu Meiyappan for Header
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6153
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6154 (defun verilog-header ()
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6155 "Insert a standard Verilog file header.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6156 See also `verilog-sk-header' for an alternative format."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6157 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6158 (let ((start (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6159 (insert "\
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6160 //-----------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6161 // Title : <title>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6162 // Project : <project>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6163 //-----------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6164 // File : <filename>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6165 // Author : <author>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6166 // Created : <credate>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6167 // Last modified : <moddate>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6168 //-----------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6169 // Description :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6170 // <description>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6171 //-----------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6172 // Copyright (c) <copydate> by <company> This model is the confidential and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6173 // proprietary property of <company> and the possession or use of this
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6174 // file requires a written license from <company>.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6175 //------------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6176 // Modification history :
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6177 // <modhist>
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6178 //-----------------------------------------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6179
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6180 ")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6181 (goto-char start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6182 (search-forward "<filename>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6183 (replace-match (buffer-name) t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6184 (search-forward "<author>") (replace-match "" t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6185 (insert (user-full-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6186 (insert " <" (user-login-name) "@" (system-name) ">")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6187 (search-forward "<credate>") (replace-match "" t t)
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
6188 (verilog-insert-date)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6189 (search-forward "<moddate>") (replace-match "" t t)
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
6190 (verilog-insert-date)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6191 (search-forward "<copydate>") (replace-match "" t t)
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
6192 (verilog-insert-year)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6193 (search-forward "<modhist>") (replace-match "" t t)
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
6194 (verilog-insert-date)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6195 (insert " : created")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6196 (goto-char start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6197 (let (string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6198 (setq string (read-string "title: "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6199 (search-forward "<title>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6200 (replace-match string t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6201 (setq string (read-string "project: " verilog-project))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6202 (setq verilog-project string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6203 (search-forward "<project>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6204 (replace-match string t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6205 (setq string (read-string "Company: " verilog-company))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6206 (setq verilog-company string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6207 (search-forward "<company>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6208 (replace-match string t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6209 (search-forward "<company>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6210 (replace-match string t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6211 (search-forward "<company>")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6212 (replace-match string t t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6213 (search-backward "<description>")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6214 (replace-match "" t t))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6215
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
6216 ;; verilog-header Uses the verilog-insert-date function
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
6217
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
6218 (defun verilog-insert-date ()
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6219 "Insert date from the system."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6220 (interactive)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6221 (if verilog-date-scientific-format
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6222 (insert (format-time-string "%Y/%m/%d"))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6223 (insert (format-time-string "%d.%m.%Y"))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6224
79554
bc59ec18d036 (verilog-kill-existing-comment, verilog-insert-date)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79552
diff changeset
6225 (defun verilog-insert-year ()
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6226 "Insert year from the system."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6227 (interactive)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6228 (insert (format-time-string "%Y")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6229
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6230
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6231 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6232 ;; Signal list parsing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6233 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6234
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6235 ;; Elements of a signal list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6236 (defsubst verilog-sig-name (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6237 (car sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6238 (defsubst verilog-sig-bits (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6239 (nth 1 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6240 (defsubst verilog-sig-comment (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6241 (nth 2 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6242 (defsubst verilog-sig-memory (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6243 (nth 3 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6244 (defsubst verilog-sig-enum (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6245 (nth 4 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6246 (defsubst verilog-sig-signed (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6247 (nth 5 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6248 (defsubst verilog-sig-type (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6249 (nth 6 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6250 (defsubst verilog-sig-multidim (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6251 (nth 7 sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6252 (defsubst verilog-sig-multidim-string (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6253 (if (verilog-sig-multidim sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6254 (let ((str "") (args (verilog-sig-multidim sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6255 (while args
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6256 (setq str (concat str (car args)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6257 (setq args (cdr args)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6258 str)))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6259 (defsubst verilog-sig-modport (sig)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6260 (nth 8 sig))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6261 (defsubst verilog-sig-width (sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6262 (verilog-make-width-expression (verilog-sig-bits sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6263
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6264 (defsubst verilog-alw-get-inputs (sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6265 (nth 2 sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6266 (defsubst verilog-alw-get-outputs (sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6267 (nth 0 sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6268 (defsubst verilog-alw-get-uses-delayed (sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6269 (nth 3 sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6270
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6271 (defun verilog-signals-not-in (in-list not-list)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
6272 "Return list of signals in IN-LIST that aren't also in NOT-LIST.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
6273 Also remove any duplicates in IN-LIST.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6274 Signals must be in standard (base vector) form."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6275 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6276 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6277 (if (not (or (assoc (car (car in-list)) not-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6278 (assoc (car (car in-list)) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6279 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6280 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6281 (nreverse out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6282 ;;(verilog-signals-not-in '(("A" "") ("B" "") ("DEL" "[2:3]")) '(("DEL" "") ("EXT" "")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6283
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6284 (defun verilog-signals-in (in-list other-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6285 "Return list of signals in IN-LIST that are also in OTHER-LIST.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6286 Signals must be in standard (base vector) form."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6287 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6288 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6289 (if (assoc (car (car in-list)) other-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6290 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6291 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6292 (nreverse out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6293 ;;(verilog-signals-in '(("A" "") ("B" "") ("DEL" "[2:3]")) '(("DEL" "") ("EXT" "")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6294
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6295 (defun verilog-signals-memory (in-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6296 "Return list of signals in IN-LIST that are memoried (multidimensional)."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6297 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6298 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6299 (if (nth 3 (car in-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6300 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6301 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6302 out-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6303 ;;(verilog-signals-memory '(("A" nil nil "[3:0]")) '(("B" nil nil nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6304
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6305 (defun verilog-signals-sort-compare (a b)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6306 "Compare signal A and B for sorting."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6307 (string< (car a) (car b)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6308
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6309 (defun verilog-signals-not-params (in-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6310 "Return list of signals in IN-LIST that aren't parameters or numeric constants."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6311 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6312 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6313 (unless (boundp (intern (concat "vh-" (car (car in-list)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6314 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6315 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6316 (nreverse out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6317
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6318 (defun verilog-signals-combine-bus (in-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6319 "Return a list of signals in IN-LIST, with busses combined.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6320 Duplicate signals are also removed. For example A[2] and A[1] become A[2:1]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6321 (let (combo buswarn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6322 out-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6323 sig highbit lowbit ; Temp information about current signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6324 sv-name sv-highbit sv-lowbit ; Details about signal we are forming
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6325 sv-comment sv-memory sv-enum sv-signed sv-type sv-multidim sv-busstring
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6326 sv-modport
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6327 bus)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6328 ;; Shove signals so duplicated signals will be adjacent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6329 (setq in-list (sort in-list `verilog-signals-sort-compare))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6330 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6331 (setq sig (car in-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6332 ;; No current signal; form from existing details
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6333 (unless sv-name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6334 (setq sv-name (verilog-sig-name sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6335 sv-highbit nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6336 sv-busstring nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6337 sv-comment (verilog-sig-comment sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6338 sv-memory (verilog-sig-memory sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6339 sv-enum (verilog-sig-enum sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6340 sv-signed (verilog-sig-signed sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6341 sv-type (verilog-sig-type sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6342 sv-multidim (verilog-sig-multidim sig)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6343 sv-modport (verilog-sig-modport sig)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6344 combo ""
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6345 buswarn ""))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6346 ;; Extract bus details
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6347 (setq bus (verilog-sig-bits sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6348 (cond ((and bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6349 (or (and (string-match "\\[\\([0-9]+\\):\\([0-9]+\\)\\]" bus)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6350 (setq highbit (string-to-number (match-string 1 bus))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6351 lowbit (string-to-number
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6352 (match-string 2 bus))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6353 (and (string-match "\\[\\([0-9]+\\)\\]" bus)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6354 (setq highbit (string-to-number (match-string 1 bus))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6355 lowbit highbit))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6356 ;; Combine bits in bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6357 (if sv-highbit
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6358 (setq sv-highbit (max highbit sv-highbit)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6359 sv-lowbit (min lowbit sv-lowbit))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6360 (setq sv-highbit highbit
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6361 sv-lowbit lowbit)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6362 (bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6363 ;; String, probably something like `preproc:0
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6364 (setq sv-busstring bus)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6365 ;; Peek ahead to next signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6366 (setq in-list (cdr in-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6367 (setq sig (car in-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6368 (cond ((and sig (equal sv-name (verilog-sig-name sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6369 ;; Combine with this signal
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6370 (when (and sv-busstring
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6371 (not (equal sv-busstring (verilog-sig-bits sig))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6372 (when nil ;; Debugging
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6373 (message (concat "Warning, can't merge into single bus "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6374 sv-name bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6375 ", the AUTOs may be wrong")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6376 (setq buswarn ", Couldn't Merge"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6377 (if (verilog-sig-comment sig) (setq combo ", ..."))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6378 (setq sv-memory (or sv-memory (verilog-sig-memory sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6379 sv-enum (or sv-enum (verilog-sig-enum sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6380 sv-signed (or sv-signed (verilog-sig-signed sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6381 sv-type (or sv-type (verilog-sig-type sig))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6382 sv-multidim (or sv-multidim (verilog-sig-multidim sig))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6383 sv-modport (or sv-modport (verilog-sig-modport sig))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6384 ;; Doesn't match next signal, add to queue, zero in prep for next
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6385 ;; Note sig may also be nil for the last signal in the list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6386 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6387 (setq out-list
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6388 (cons
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6389 (list sv-name
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6390 (or sv-busstring
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6391 (if sv-highbit
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6392 (concat "[" (int-to-string sv-highbit) ":"
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6393 (int-to-string sv-lowbit) "]")))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6394 (concat sv-comment combo buswarn)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6395 sv-memory sv-enum sv-signed sv-type sv-multidim sv-modport)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6396 out-list)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6397 sv-name nil))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6398 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6399 out-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6400
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6401 (defun verilog-sig-tieoff (sig &optional no-width)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6402 "Return tieoff expression for given SIG, with appropriate width.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6403 Ignore width if optional NO-WIDTH is set."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6404 (let* ((width (if no-width nil (verilog-sig-width sig))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6405 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6406 (if (and verilog-active-low-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6407 (string-match verilog-active-low-regexp (verilog-sig-name sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6408 "~" "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6409 (cond ((not width)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6410 "0")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6411 ((string-match "^[0-9]+$" width)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6412 (concat width (if (verilog-sig-signed sig) "'sh0" "'h0")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6413 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6414 (concat "{" width "{1'b0}}"))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6415
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6416 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6417 ;; Port/Wire/Etc Reading
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6418 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6419
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6420 (defun verilog-read-inst-backward-name ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6421 "Internal. Move point back to beginning of inst-name."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6422 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6423 (let (done)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6424 (while (not done)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6425 (verilog-re-search-backward-quick "\\()\\|\\b[a-zA-Z0-9`_\$]\\|\\]\\)" nil nil) ; ] isn't word boundary
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6426 (cond ((looking-at ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6427 (verilog-backward-open-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6428 (t (setq done t)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6429 (while (looking-at "\\]")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6430 (verilog-backward-open-bracket)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6431 (verilog-re-search-backward-quick "\\(\\b[a-zA-Z0-9`_\$]\\|\\]\\)" nil nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6432 (skip-chars-backward "a-zA-Z0-9`_$"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6433
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6434 (defun verilog-read-inst-module ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6435 "Return module_name when point is inside instantiation."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6436 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6437 (verilog-read-inst-backward-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6438 ;; Skip over instantiation name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6439 (verilog-re-search-backward-quick "\\(\\b[a-zA-Z0-9`_\$]\\|)\\)" nil nil) ; ) isn't word boundary
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6440 ;; Check for parameterized instantiations
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6441 (when (looking-at ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6442 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6443 (verilog-re-search-backward-quick "\\b[a-zA-Z0-9`_\$]" nil nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6444 (skip-chars-backward "a-zA-Z0-9'_$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6445 (looking-at "[a-zA-Z0-9`_\$]+")
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
6446 ;; Important: don't use match string, this must work with Emacs 19 font-lock on
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6447 (buffer-substring-no-properties (match-beginning 0) (match-end 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6448
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6449 (defun verilog-read-inst-name ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6450 "Return instance_name when point is inside instantiation."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6451 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6452 (verilog-read-inst-backward-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6453 (looking-at "[a-zA-Z0-9`_\$]+")
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
6454 ;; Important: don't use match string, this must work with Emacs 19 font-lock on
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6455 (buffer-substring-no-properties (match-beginning 0) (match-end 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6456
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6457 (defun verilog-read-module-name ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6458 "Return module name when after its ( or ;."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6459 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6460 (re-search-backward "[(;]")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6461 (verilog-re-search-backward-quick "\\b[a-zA-Z0-9`_\$]" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6462 (skip-chars-backward "a-zA-Z0-9`_$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6463 (looking-at "[a-zA-Z0-9`_\$]+")
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
6464 ;; Important: don't use match string, this must work with Emacs 19 font-lock on
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6465 (verilog-symbol-detick
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6466 (buffer-substring-no-properties (match-beginning 0) (match-end 0)) t)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6467
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6468 (defun verilog-read-inst-param-value ()
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6469 "Return list of parameters and values when point is inside instantiation."
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6470 (save-excursion
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6471 (verilog-read-inst-backward-name)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6472 ;; Skip over instantiation name
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6473 (verilog-re-search-backward-quick "\\(\\b[a-zA-Z0-9`_\$]\\|)\\)" nil nil) ; ) isn't word boundary
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6474 ;; If there are parameterized instantiations
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6475 (when (looking-at ")")
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6476 (let ((end-pt (point))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6477 params
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6478 param-name paren-beg-pt param-value)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6479 (verilog-backward-open-paren)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6480 (while (verilog-re-search-forward-quick "\\." end-pt t)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6481 (verilog-re-search-forward-quick "\\([a-zA-Z0-9`_\$]\\)" nil nil)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6482 (skip-chars-backward "a-zA-Z0-9'_$")
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6483 (looking-at "[a-zA-Z0-9`_\$]+")
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6484 (setq param-name (buffer-substring-no-properties
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6485 (match-beginning 0) (match-end 0)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6486 (verilog-re-search-forward-quick "(" nil nil)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6487 (setq paren-beg-pt (point))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6488 (verilog-forward-close-paren)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6489 (setq param-value (verilog-string-remove-spaces
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6490 (buffer-substring-no-properties
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6491 paren-beg-pt (1- (point)))))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6492 (setq params (cons (list param-name param-value) params)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6493 params))))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
6494
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6495 (defun verilog-read-auto-params (num-param &optional max-param)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6496 "Return parameter list inside auto.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6497 Optional NUM-PARAM and MAX-PARAM check for a specific number of parameters."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6498 (let ((olist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6499 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6500 ;; /*AUTOPUNT("parameter", "parameter")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6501 (search-backward "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6502 (while (looking-at "(?\\s *\"\\([^\"]*\\)\"\\s *,?")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6503 (setq olist (cons (match-string 1) olist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6504 (goto-char (match-end 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6505 (or (eq nil num-param)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6506 (<= num-param (length olist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6507 (error "%s: Expected %d parameters" (verilog-point-text) num-param))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6508 (if (eq max-param nil) (setq max-param num-param))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6509 (or (eq nil max-param)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6510 (>= max-param (length olist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6511 (error "%s: Expected <= %d parameters" (verilog-point-text) max-param))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6512 (nreverse olist)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6513
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6514 (defun verilog-read-decls ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6515 "Compute signal declaration information for the current module at point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6516 Return a array of [outputs inouts inputs wire reg assign const]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6517 (let ((end-mod-point (or (verilog-get-end-of-defun t) (point-max)))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6518 (functask 0) (paren 0) (sig-paren 0) (v2kargs-ok t)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6519 sigs-in sigs-out sigs-inout sigs-wire sigs-reg sigs-assign sigs-const
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6520 sigs-gparam sigs-intf
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6521 vec expect-signal keywd newsig rvalue enum io signed typedefed multidim
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6522 modport)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6523 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6524 (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6525 (setq sigs-const (verilog-read-auto-constants (point) end-mod-point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6526 (while (< (point) end-mod-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6527 ;;(if dbg (setq dbg (cons (format "Pt %s Vec %s Kwd'%s'\n" (point) vec keywd) dbg)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6528 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6529 ((looking-at "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6530 (if (looking-at "[^\n]*synopsys\\s +enum\\s +\\([a-zA-Z0-9_]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6531 (setq enum (match-string 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6532 (search-forward "\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6533 ((looking-at "/\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6534 (forward-char 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6535 (if (looking-at "[^*]*synopsys\\s +enum\\s +\\([a-zA-Z0-9_]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6536 (setq enum (match-string 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6537 (or (search-forward "*/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6538 (error "%s: Unmatched /* */, at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6539 ((looking-at "(\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6540 (forward-char 2)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6541 (or (looking-at "\\s-*)") ; It's an "always @ (*)"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6542 (search-forward "*)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6543 (error "%s: Unmatched (* *), at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6544 ((eq ?\" (following-char))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6545 (or (re-search-forward "[^\\]\"" nil t) ;; don't forward-char first, since we look for a non backslash first
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6546 (error "%s: Unmatched quotes, at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6547 ((eq ?\; (following-char))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6548 (setq vec nil io nil expect-signal nil newsig nil paren 0 rvalue nil
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6549 v2kargs-ok nil)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6550 (forward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6551 ((eq ?= (following-char))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6552 (setq rvalue t newsig nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6553 (forward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6554 ((and (or rvalue sig-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6555 (cond ((and (eq ?, (following-char))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6556 (eq paren sig-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6557 (setq rvalue nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6558 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6559 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6560 ;; ,'s can occur inside {} & funcs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6561 ((looking-at "[{(]")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6562 (setq paren (1+ paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6563 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6564 t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6565 ((looking-at "[})]")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6566 (setq paren (1- paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6567 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6568 (when (< paren sig-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6569 (setq expect-signal nil)) ; ) that ends variables inside v2k arg list
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6570 t))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6571 ((looking-at "\\s-*\\(\\[[^]]+\\]\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6572 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6573 (cond (newsig ; Memory, not just width. Patch last signal added's memory (nth 3)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6574 (setcar (cdr (cdr (cdr newsig))) (match-string 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6575 (vec ;; Multidimensional
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6576 (setq multidim (cons vec multidim))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6577 (setq vec (verilog-string-replace-matches
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6578 "\\s-+" "" nil nil (match-string 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6579 (t ;; Bit width
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6580 (setq vec (verilog-string-replace-matches
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6581 "\\s-+" "" nil nil (match-string 1))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6582 ;; Normal or escaped identifier -- note we remember the \ if escaped
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6583 ((looking-at "\\s-*\\([a-zA-Z0-9`_$]+\\|\\\\[^ \t\n\f]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6584 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6585 (setq keywd (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6586 (when (string-match "^\\\\" keywd)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6587 (setq keywd (concat keywd " "))) ;; Escaped ID needs space at end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6588 (cond ((equal keywd "input")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6589 (setq vec nil enum nil rvalue nil newsig nil signed nil typedefed nil multidim nil sig-paren paren
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6590 expect-signal 'sigs-in io t modport nil))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6591 ((equal keywd "output")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6592 (setq vec nil enum nil rvalue nil newsig nil signed nil typedefed nil multidim nil sig-paren paren
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6593 expect-signal 'sigs-out io t modport nil))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6594 ((equal keywd "inout")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6595 (setq vec nil enum nil rvalue nil newsig nil signed nil typedefed nil multidim nil sig-paren paren
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6596 expect-signal 'sigs-inout io t modport nil))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6597 ((equal keywd "parameter")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6598 (setq vec nil enum nil rvalue nil signed nil typedefed nil multidim nil sig-paren paren
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6599 expect-signal 'sigs-gparam io t modport nil))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6600 ((member keywd '("wire" "tri" "tri0" "tri1" "triand" "trior" "wand" "wor"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6601 (unless io (setq vec nil enum nil rvalue nil signed nil typedefed nil multidim nil sig-paren paren
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6602 expect-signal 'sigs-wire modport nil)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6603 ((member keywd '("reg" "trireg"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6604 "byte" "shortint" "int" "longint" "integer" "time"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6605 "bit" "logic"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6606 (unless io (setq vec nil enum nil rvalue nil signed nil typedefed nil multidim nil sig-paren paren
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6607 expect-signal 'sigs-reg modport nil)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6608 ((equal keywd "assign")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6609 (setq vec nil enum nil rvalue nil signed nil typedefed nil multidim nil sig-paren paren
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6610 expect-signal 'sigs-assign modport nil))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6611 ((member keywd '("supply0" "supply1" "supply"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6612 "localparam" "genvar"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6613 (unless io (setq vec nil enum nil rvalue nil signed nil typedefed nil multidim nil sig-paren paren
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6614 expect-signal 'sigs-const modport nil)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6615 ((equal keywd "signed")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6616 (setq signed "signed"))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6617 ((member keywd '("class" "clocking" "covergroup" "function"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6618 "property" "randsequence" "sequence" "task"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6619 (setq functask (1+ functask)))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6620 ((member keywd '("endclass" "endclocking" "endgroup" "endfunction"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6621 "endproperty" "endsequence" "endtask"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6622 (setq functask (1- functask)))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6623 ;; Ifdef? Ignore name of define
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6624 ((member keywd '("`ifdef" "`ifndef"))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6625 (setq rvalue t))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6626 ;; Type?
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6627 ((verilog-typedef-name-p keywd)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6628 (setq typedefed keywd))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6629 ;; Interface with optional modport in v2k arglist?
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6630 ;; Skip over parsing modport, and take the interface name as the type
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6631 ((and v2kargs-ok
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6632 (eq paren 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6633 (looking-at "\\s-*\\(\\.\\(\\s-*[a-zA-Z0-9`_$]+\\)\\|\\)\\s-*[a-zA-Z0-9`_$]+"))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6634 (when (match-end 2) (goto-char (match-end 2)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6635 (setq vec nil enum nil rvalue nil newsig nil signed nil typedefed keywd multidim nil sig-paren paren
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6636 expect-signal 'sigs-intf io t modport (match-string 2)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6637 ;; New signal, maybe?
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6638 ((and expect-signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6639 (eq functask 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6640 (not rvalue)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6641 (eq paren sig-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6642 (not (member keywd verilog-keywords)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6643 ;; Add new signal to expect-signal's variable
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6644 (setq newsig (list keywd vec nil nil enum signed typedefed multidim modport))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6645 (set expect-signal (cons newsig
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6646 (symbol-value expect-signal))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6647 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6648 (forward-char 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6649 (skip-syntax-forward " "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6650 ;; Return arguments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6651 (vector (nreverse sigs-out)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6652 (nreverse sigs-inout)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6653 (nreverse sigs-in)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6654 (nreverse sigs-wire)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6655 (nreverse sigs-reg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6656 (nreverse sigs-assign)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6657 (nreverse sigs-const)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6658 (nreverse sigs-gparam)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6659 (nreverse sigs-intf)))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6660
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6661 (eval-when-compile
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6662 ;; Prevent compile warnings; these are let's, not globals
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6663 ;; Do not remove the eval-when-compile
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6664 ;; - we want a error when we are debugging this code if they are refed.
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6665 (defvar sigs-in)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6666 (defvar sigs-inout)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6667 (defvar sigs-out)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6668 (defvar sigs-intf))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6669
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6670
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6671 (defsubst verilog-modi-get-decls (modi)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6672 (verilog-modi-cache-results modi 'verilog-read-decls))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6673
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6674 (defsubst verilog-modi-get-sub-decls (modi)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6675 (verilog-modi-cache-results modi 'verilog-read-sub-decls))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6676
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6677
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6678 ;; Signal reading for given module
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6679 ;; Note these all take modi's - as returned from the
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
6680 ;; verilog-modi-current function.
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6681 (defsubst verilog-decls-get-outputs (decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6682 (aref decls 0))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6683 (defsubst verilog-decls-get-inouts (decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6684 (aref decls 1))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6685 (defsubst verilog-decls-get-inputs (decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6686 (aref decls 2))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6687 (defsubst verilog-decls-get-wires (decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6688 (aref decls 3))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6689 (defsubst verilog-decls-get-regs (decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6690 (aref decls 4))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6691 (defsubst verilog-decls-get-assigns (decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6692 (aref decls 5))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6693 (defsubst verilog-decls-get-consts (decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6694 (aref decls 6))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6695 (defsubst verilog-decls-get-gparams (decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6696 (aref decls 7))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6697 (defsubst verilog-decls-get-interfaces (decls)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6698 (aref decls 8))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6699 (defsubst verilog-subdecls-get-outputs (subdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6700 (aref subdecls 0))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6701 (defsubst verilog-subdecls-get-inouts (subdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6702 (aref subdecls 1))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6703 (defsubst verilog-subdecls-get-inputs (subdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6704 (aref subdecls 2))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6705 (defsubst verilog-subdecls-get-interfaces (subdecls)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6706 (aref subdecls 3))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6707
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6708
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6709 (defun verilog-read-sub-decls-sig (submoddecls comment port sig vec multidim)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
6710 "For `verilog-read-sub-decls-line', add a signal."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6711 (let (portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6712 (when sig
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6713 (setq port (verilog-symbol-detick-denumber port))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6714 (setq sig (verilog-symbol-detick-denumber sig))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6715 (if sig (setq sig (verilog-string-replace-matches "^\\s-*[---+~!|&]+\\s-*" "" nil nil sig)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6716 (if vec (setq vec (verilog-symbol-detick-denumber vec)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6717 (if multidim (setq multidim (mapcar `verilog-symbol-detick-denumber multidim)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6718 (unless (or (not sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6719 (equal sig "")) ;; Ignore .foo(1'b1) assignments
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6720 (cond ((setq portdata (assoc port (verilog-decls-get-inouts submoddecls)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6721 (setq sigs-inout (cons (list sig vec (concat "To/From " comment) nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6722 (verilog-sig-signed portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6723 (verilog-sig-type portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6724 multidim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6725 sigs-inout)))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6726 ((setq portdata (assoc port (verilog-decls-get-outputs submoddecls)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6727 (setq sigs-out (cons (list sig vec (concat "From " comment) nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6728 (verilog-sig-signed portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6729 (verilog-sig-type portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6730 multidim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6731 sigs-out)))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6732 ((setq portdata (assoc port (verilog-decls-get-inputs submoddecls)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6733 (setq sigs-in (cons (list sig vec (concat "To " comment) nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6734 (verilog-sig-signed portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6735 (verilog-sig-type portdata)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6736 multidim)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6737 sigs-in)))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6738 ((setq portdata (assoc port (verilog-decls-get-interfaces submoddecls)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6739 (setq sigs-intf (cons (list sig vec (concat "To/From " comment) nil nil
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6740 (verilog-sig-signed portdata)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6741 (verilog-sig-type portdata)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6742 multidim)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6743 sigs-intf)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6744 ;; (t -- warning pin isn't defined.) ; Leave for lint tool
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6745 )))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6746
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6747 (defun verilog-read-sub-decls-expr (submoddecls comment port expr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6748 "For `verilog-read-sub-decls-line', parse a subexpression and add signals."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6749 ;;(message "vrsde: '%s'" expr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6750 ;; Replace special /*[....]*/ comments inserted by verilog-auto-inst-port
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6751 (setq expr (verilog-string-replace-matches "/\\*\\(\\[[^*]+\\]\\)\\*/" "\\1" nil nil expr))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6752 ;; Remove front operators
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6753 (setq expr (verilog-string-replace-matches "^\\s-*[---+~!|&]+\\s-*" "" nil nil expr))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6754 ;;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6755 (cond
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6756 ;; {..., a, b} requires us to recurse on a,b
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6757 ((string-match "^\\s-*{\\([^{}]*\\)}\\s-*$" expr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6758 (let ((mlst (split-string (match-string 1 expr) ","))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6759 mstr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6760 (while (setq mstr (pop mlst))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6761 (verilog-read-sub-decls-expr submoddecls comment port mstr))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6762 (t
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6763 (let (sig vec multidim)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6764 (cond ;; Find \signal. Final space is part of escaped signal name
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6765 ((string-match "^\\s-*\\(\\\\[^ \t\n\f]+\\s-\\)" expr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6766 ;;(message "vrsde-s: '%s'" (match-string 1 expr))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6767 (setq sig (match-string 1 expr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6768 expr (substring expr (match-end 0))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6769 ;; Find signal
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6770 ((string-match "^\\s-*\\([^[({).\\]+\\)" expr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6771 ;;(message "vrsde-s: '%s'" (match-string 1 expr))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6772 (setq sig (verilog-string-remove-spaces (match-string 1 expr))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6773 expr (substring expr (match-end 0)))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6774 ;; Find [vector] or [multi][multi][multi][vector]
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6775 (while (string-match "^\\s-*\\(\\[[^]]+\\]\\)" expr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6776 ;;(message "vrsde-v: '%s'" (match-string 1 expr))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6777 (when vec (setq multidim (cons vec multidim)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6778 (setq vec (match-string 1 expr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6779 expr (substring expr (match-end 0))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6780 ;; If found signal, and nothing unrecognized, add the signal
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6781 ;;(message "vrsde-rem: '%s'" expr)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6782 (when (and sig (string-match "^\\s-*$" expr))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6783 (verilog-read-sub-decls-sig submoddecls comment port sig vec multidim))))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6784
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6785 (defun verilog-read-sub-decls-line (submoddecls comment)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
6786 "For `verilog-read-sub-decls', read lines of port defs until none match anymore.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6787 Return the list of signals found, using submodi to look up each port."
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6788 (let (done port)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6789 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6790 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6791 (while (not done)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6792 ;; Get port name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6793 (cond ((looking-at "\\s-*\\.\\s-*\\([a-zA-Z0-9`_$]*\\)\\s-*(\\s-*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6794 (setq port (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6795 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6796 ((looking-at "\\s-*\\.\\s-*\\(\\\\[^ \t\n\f]*\\)\\s-*(\\s-*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6797 (setq port (concat (match-string 1) " ")) ;; escaped id's need trailing space
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6798 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6799 ((looking-at "\\s-*\\.[^(]*(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6800 (setq port nil) ;; skip this line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6801 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6802 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6803 (setq port nil done t))) ;; Unknown, ignore rest of line
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6804 ;; Get signal name. Point is at the first-non-space after (
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6805 ;; We intentionally ignore (non-escaped) signals with .s in them
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6806 ;; this prevents AUTOWIRE etc from noticing hierarchical sigs.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6807 (when port
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6808 (cond ((looking-at "\\([^[({).\\]*\\)\\s-*)")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6809 (verilog-read-sub-decls-sig
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6810 submoddecls comment port
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6811 (verilog-string-remove-spaces (match-string 1)) ; sig
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6812 nil nil)) ; vec multidim
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6813 ;;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6814 ((looking-at "\\([^[({).\\]*\\)\\s-*\\(\\[[^]]+\\]\\)\\s-*)")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6815 (verilog-read-sub-decls-sig
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6816 submoddecls comment port
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6817 (verilog-string-remove-spaces (match-string 1)) ; sig
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6818 (match-string 2) nil)) ; vec multidim
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6819 ;; Fastpath was above looking-at's.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6820 ;; For something more complicated invoke a parser
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6821 ((looking-at "[^)]+")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6822 (verilog-read-sub-decls-expr
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6823 submoddecls comment port
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6824 (buffer-substring
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6825 (point) (1- (progn (backward-char 1) ; start at (
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6826 (forward-sexp 1) (point)))))))) ; expr
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6827 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6828 (forward-line 1)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6829
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6830 (defun verilog-read-sub-decls ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6831 "Internally parse signals going to modules under this module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6832 Return a array of [ outputs inouts inputs ] signals for modules that are
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6833 instantiated in this module. For example if declare A A (.B(SIG)) and SIG
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6834 is a output, then SIG will be included in the list.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6835
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6836 This only works on instantiations created with /*AUTOINST*/ converted by
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6837 \\[verilog-auto-inst]. Otherwise, it would have to read in the whole
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6838 component library to determine connectivity of the design.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6839
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6840 One work around for this problem is to manually create // Inputs and //
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6841 Outputs comments above subcell signals, for example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6842
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
6843 module ModuleName (
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6844 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6845 .out (out),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6846 // Inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6847 .in (in));"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6848 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6849 (let ((end-mod-point (verilog-get-end-of-defun t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6850 st-point end-inst-point
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6851 ;; below 3 modified by verilog-read-sub-decls-line
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6852 sigs-out sigs-inout sigs-in sigs-intf)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6853 (verilog-beg-of-defun)
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
6854 (while (verilog-re-search-forward "\\(/\\*AUTOINST\\*/\\|\\.\\*\\)" end-mod-point t)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6855 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6856 (goto-char (match-beginning 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6857 (unless (verilog-inside-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6858 ;; Attempt to snarf a comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6859 (let* ((submod (verilog-read-inst-module))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6860 (inst (verilog-read-inst-name))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6861 (comment (concat inst " of " submod ".v"))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6862 submodi submoddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6863 (when (setq submodi (verilog-modi-lookup submod t))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6864 (setq submoddecls (verilog-modi-get-decls submodi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6865 ;; This could have used a list created by verilog-auto-inst
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6866 ;; However I want it to be runnable even on user's manually added signals
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6867 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6868 (setq end-inst-point (save-excursion (forward-sexp 1) (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6869 st-point (point))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6870 (while (re-search-forward "\\s *(?\\s *// Interfaces" end-inst-point t)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6871 (verilog-read-sub-decls-line submoddecls comment)) ;; Modifies sigs-out
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6872 (goto-char st-point)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6873 (while (re-search-forward "\\s *(?\\s *// Outputs" end-inst-point t)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6874 (verilog-read-sub-decls-line submoddecls comment)) ;; Modifies sigs-out
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6875 (goto-char st-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6876 (while (re-search-forward "\\s *// Inouts" end-inst-point t)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6877 (verilog-read-sub-decls-line submoddecls comment)) ;; Modifies sigs-inout
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6878 (goto-char st-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6879 (while (re-search-forward "\\s *// Inputs" end-inst-point t)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
6880 (verilog-read-sub-decls-line submoddecls comment)) ;; Modifies sigs-in
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6881 )))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6882 ;; Combine duplicate bits
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6883 ;;(setq rr (vector sigs-out sigs-inout sigs-in))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6884 (vector (verilog-signals-combine-bus (nreverse sigs-out))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6885 (verilog-signals-combine-bus (nreverse sigs-inout))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6886 (verilog-signals-combine-bus (nreverse sigs-in))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
6887 (verilog-signals-combine-bus (nreverse sigs-intf))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6888
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6889 (defun verilog-read-inst-pins ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
6890 "Return an array of [ pins ] for the current instantiation at point.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6891 For example if declare A A (.B(SIG)) then B will be included in the list."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6892 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6893 (let ((end-mod-point (point)) ;; presume at /*AUTOINST*/ point
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6894 pins pin)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6895 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6896 (while (re-search-forward "\\.\\([^(,) \t\n\f]*\\)\\s-*" end-mod-point t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6897 (setq pin (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6898 (unless (verilog-inside-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6899 (setq pins (cons (list pin) pins))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6900 (when (looking-at "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6901 (forward-sexp 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6902 (vector pins))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6903
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6904 (defun verilog-read-arg-pins ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
6905 "Return an array of [ pins ] for the current argument declaration at point."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6906 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6907 (let ((end-mod-point (point)) ;; presume at /*AUTOARG*/ point
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6908 pins pin)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6909 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6910 (while (re-search-forward "\\([a-zA-Z0-9$_.%`]+\\)" end-mod-point t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6911 (setq pin (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6912 (unless (verilog-inside-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6913 (setq pins (cons (list pin) pins))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6914 (vector pins))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6915
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6916 (defun verilog-read-auto-constants (beg end-mod-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6917 "Return a list of AUTO_CONSTANTs used in the region from BEG to END-MOD-POINT."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6918 ;; Insert new
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6919 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6920 (let (sig-list tpl-end-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6921 (goto-char beg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6922 (while (re-search-forward "\\<AUTO_CONSTANT" end-mod-point t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6923 (if (not (looking-at "\\s *("))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6924 (error "%s: Missing () after AUTO_CONSTANT" (verilog-point-text)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6925 (search-forward "(" end-mod-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6926 (setq tpl-end-pt (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6927 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6928 (forward-sexp 1) ;; Moves to paren that closes argdecl's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6929 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6930 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6931 (while (re-search-forward "\\s-*\\([\"a-zA-Z0-9$_.%`]+\\)\\s-*,*" tpl-end-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6932 (setq sig-list (cons (list (match-string 1) nil nil) sig-list))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6933 sig-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6934
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6935 (defun verilog-read-auto-lisp (start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6936 "Look for and evaluate a AUTO_LISP between START and END."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6937 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6938 (goto-char start)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6939 (while (re-search-forward "\\<AUTO_LISP(" end t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6940 (backward-char)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6941 (let* ((beg-pt (prog1 (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6942 (forward-sexp 1))) ;; Closing paren
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6943 (end-pt (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6944 (eval-region beg-pt end-pt nil)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6945
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6946 (eval-when-compile
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6947 ;; Prevent compile warnings; these are let's, not globals
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6948 ;; Do not remove the eval-when-compile
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6949 ;; - we want a error when we are debugging this code if they are refed.
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6950 (defvar sigs-in)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6951 (defvar sigs-out)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6952 (defvar got-sig)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6953 (defvar got-rvalue)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6954 (defvar uses-delayed)
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
6955 (defvar vector-skip-list))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6956
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6957 (defun verilog-read-always-signals-recurse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6958 (exit-keywd rvalue ignore-next)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6959 "Recursive routine for parentheses/bracket matching.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6960 EXIT-KEYWD is expression to stop at, nil if top level.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6961 RVALUE is true if at right hand side of equal.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6962 IGNORE-NEXT is true to ignore next token, fake from inside case statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6963 (let* ((semi-rvalue (equal "endcase" exit-keywd)) ;; true if after a ; we are looking for rvalue
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6964 keywd last-keywd sig-tolk sig-last-tolk gotend got-sig got-rvalue end-else-check)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6965 ;;(if dbg (setq dbg (concat dbg (format "Recursion %S %S %S\n" exit-keywd rvalue ignore-next))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6966 (while (not (or (eobp) gotend))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6967 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6968 ((looking-at "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6969 (search-forward "\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6970 ((looking-at "/\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6971 (or (search-forward "*/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6972 (error "%s: Unmatched /* */, at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6973 ((looking-at "(\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6974 (or (looking-at "(\\*\\s-*)") ; It's a "always @ (*)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6975 (search-forward "*)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6976 (error "%s: Unmatched (* *), at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6977 (t (setq keywd (buffer-substring-no-properties
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6978 (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6979 (save-excursion (when (eq 0 (skip-chars-forward "a-zA-Z0-9$_.%`"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6980 (forward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6981 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6982 sig-last-tolk sig-tolk
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6983 sig-tolk nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6984 ;;(if dbg (setq dbg (concat dbg (format "\tPt=%S %S\trv=%S in=%S ee=%S\n" (point) keywd rvalue ignore-next end-else-check))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6985 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6986 ((equal keywd "\"")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6987 (or (re-search-forward "[^\\]\"" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6988 (error "%s: Unmatched quotes, at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6989 ;; else at top level loop, keep parsing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6990 ((and end-else-check (equal keywd "else"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6991 ;;(if dbg (setq dbg (concat dbg (format "\tif-check-else %s\n" keywd))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6992 ;; no forward movement, want to see else in lower loop
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6993 (setq end-else-check nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6994 ;; End at top level loop
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6995 ((and end-else-check (looking-at "[^ \t\n\f]"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6996 ;;(if dbg (setq dbg (concat dbg (format "\tif-check-else-other %s\n" keywd))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6997 (setq gotend t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6998 ;; Final statement?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
6999 ((and exit-keywd (equal keywd exit-keywd))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7000 (setq gotend t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7001 (forward-char (length keywd)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7002 ;; Standard tokens...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7003 ((equal keywd ";")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7004 (setq ignore-next nil rvalue semi-rvalue)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7005 ;; Final statement at top level loop?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7006 (when (not exit-keywd)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7007 ;;(if dbg (setq dbg (concat dbg (format "\ttop-end-check %s\n" keywd))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7008 (setq end-else-check t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7009 (forward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7010 ((equal keywd "'")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7011 (if (looking-at "'s?[hdxbo][0-9a-fA-F_xz? \t]*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7012 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7013 (forward-char 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7014 ((equal keywd ":") ;; Case statement, begin/end label, x?y:z
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7015 (cond ((equal "endcase" exit-keywd) ;; case x: y=z; statement next
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7016 (setq ignore-next nil rvalue nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7017 ((equal "?" exit-keywd) ;; x?y:z rvalue
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7018 ) ;; NOP
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7019 ((equal "]" exit-keywd) ;; [x:y] rvalue
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7020 ) ;; NOP
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7021 (got-sig ;; label: statement
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7022 (setq ignore-next nil rvalue semi-rvalue got-sig nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7023 ((not rvalue) ;; begin label
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7024 (setq ignore-next t rvalue nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7025 (forward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7026 ((equal keywd "=")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7027 (if (and (eq (char-before) ?< )
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7028 (not rvalue))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7029 (setq uses-delayed 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7030 (setq ignore-next nil rvalue t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7031 (forward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7032 ((equal keywd "?")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7033 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7034 (verilog-read-always-signals-recurse ":" rvalue nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7035 ((equal keywd "[")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7036 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7037 (verilog-read-always-signals-recurse "]" t nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7038 ((equal keywd "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7039 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7040 (cond (sig-last-tolk ;; Function call; zap last signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7041 (setq got-sig nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7042 (cond ((equal last-keywd "for")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7043 (verilog-read-always-signals-recurse ";" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7044 (verilog-read-always-signals-recurse ";" t nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7045 (verilog-read-always-signals-recurse ")" nil nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7046 (t (verilog-read-always-signals-recurse ")" t nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7047 ((equal keywd "begin")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7048 (skip-syntax-forward "w_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7049 (verilog-read-always-signals-recurse "end" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7050 ;;(if dbg (setq dbg (concat dbg (format "\tgot-end %s\n" exit-keywd))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7051 (setq ignore-next nil rvalue semi-rvalue)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7052 (if (not exit-keywd) (setq end-else-check t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7053 ((or (equal keywd "case")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7054 (equal keywd "casex")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7055 (equal keywd "casez"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7056 (skip-syntax-forward "w_")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7057 (verilog-read-always-signals-recurse "endcase" t nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7058 (setq ignore-next nil rvalue semi-rvalue)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7059 (if (not exit-keywd) (setq gotend t))) ;; top level begin/end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7060 ((string-match "^[$`a-zA-Z_]" keywd) ;; not exactly word constituent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7061 (cond ((or (equal keywd "`ifdef")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7062 (equal keywd "`ifndef"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7063 (setq ignore-next t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7064 ((or ignore-next
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7065 (member keywd verilog-keywords)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7066 (string-match "^\\$" keywd)) ;; PLI task
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7067 (setq ignore-next nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7068 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7069 (setq keywd (verilog-symbol-detick-denumber keywd))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7070 (when got-sig
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7071 (if got-rvalue (setq sigs-in (cons got-sig sigs-in))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7072 (setq sigs-out (cons got-sig sigs-out)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7073 ;;(if dbg (setq dbg (concat dbg (format "\t\tgot-sig=%S rv=%S\n" got-sig got-rvalue))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7074 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7075 (setq got-rvalue rvalue
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7076 got-sig (if (or (not keywd)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7077 (assoc keywd (if got-rvalue sigs-in sigs-out)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7078 nil (list keywd nil nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7079 sig-tolk t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7080 (skip-chars-forward "a-zA-Z0-9$_.%`"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7081 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7082 (forward-char 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7083 ;; End of non-comment token
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7084 (setq last-keywd keywd)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7085 (skip-syntax-forward " "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7086 ;; Append the final pending signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7087 (when got-sig
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7088 (if got-rvalue (setq sigs-in (cons got-sig sigs-in))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7089 (setq sigs-out (cons got-sig sigs-out)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7090 ;;(if dbg (setq dbg (concat dbg (format "\t\tgot-sig=%S rv=%S\n" got-sig got-rvalue))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7091 (setq got-sig nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7092 ;;(if dbg (setq dbg (concat dbg (format "ENDRecursion %s\n" exit-keywd))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7093 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7094
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7095 (defun verilog-read-always-signals ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7096 "Parse always block at point and return list of (outputs inout inputs)."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7097 ;; Insert new
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7098 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7099 (let* (;;(dbg "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7100 sigs-in sigs-out
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7101 uses-delayed) ;; Found signal/rvalue; push if not function
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7102 (search-forward ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7103 (verilog-read-always-signals-recurse nil nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7104 ;;(if dbg (save-excursion (set-buffer (get-buffer-create "*vl-dbg*")) (delete-region (point-min) (point-max)) (insert dbg) (setq dbg "")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7105 ;; Return what was found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7106 (list sigs-out nil sigs-in uses-delayed))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7107
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7108 (defun verilog-read-instants ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7109 "Parse module at point and return list of ( ( file instance ) ... )."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7110 (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7111 (let* ((end-mod-point (verilog-get-end-of-defun t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7112 (state nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7113 (instants-list nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7114 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7115 (while (< (point) end-mod-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7116 ;; Stay at level 0, no comments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7117 (while (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7118 (setq state (parse-partial-sexp (point) end-mod-point 0 t nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7119 (or (> (car state) 0) ; in parens
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7120 (nth 5 state) ; comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7121 ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7122 (forward-line 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7123 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7124 (if (looking-at "^\\s-*\\([a-zA-Z0-9`_$]+\\)\\s-+\\([a-zA-Z0-9`_$]+\\)\\s-*(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7125 ;;(if (looking-at "^\\(.+\\)$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7126 (let ((module (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7127 (instant (match-string 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7128 (if (not (member module verilog-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7129 (setq instants-list (cons (list module instant) instants-list)))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7130 (forward-line 1)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7131 instants-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7132
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7133
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7134 (defun verilog-read-auto-template (module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7135 "Look for a auto_template for the instantiation of the given MODULE.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7136 If found returns the signal name connections. Return REGEXP and
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7137 list of ( (signal_name connection_name)... )."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7138 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7139 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7140 (let ((tpl-regexp "\\([0-9]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7141 (lineno 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7142 (templateno 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7143 tpl-sig-list tpl-wild-list tpl-end-pt rep)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7144 (cond ((or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7145 (re-search-backward (concat "^\\s-*/?\\*?\\s-*" module "\\s-+AUTO_TEMPLATE") nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7146 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7147 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7148 (re-search-forward (concat "^\\s-*/?\\*?\\s-*" module "\\s-+AUTO_TEMPLATE") nil t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7149 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7150 ;; Parse "REGEXP"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7151 ;; We reserve @"..." for future lisp expressions that evaluate once-per-AUTOINST
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7152 (when (looking-at "\\s-*\"\\([^\"]*)\\)\"")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7153 (setq tpl-regexp (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7154 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7155 (search-forward "(")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7156 ;; Parse lines in the template
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7157 (when verilog-auto-inst-template-numbers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7158 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7159 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7160 (while (search-forward "AUTO_TEMPLATE" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7161 (setq templateno (1+ templateno)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7162 (setq tpl-end-pt (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7163 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7164 (forward-sexp 1) ;; Moves to paren that closes argdecl's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7165 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7166 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7167 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7168 (while (< (point) tpl-end-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7169 (cond ((looking-at "\\s-*\\.\\([a-zA-Z0-9`_$]+\\)\\s-*(\\(.*\\))\\s-*\\(,\\|)\\s-*;\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7170 (setq tpl-sig-list (cons (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7171 (match-string-no-properties 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7172 (match-string-no-properties 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7173 templateno lineno)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7174 tpl-sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7175 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7176 ;; Regexp form??
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7177 ((looking-at
93066
f35f15ba549f (verilog-syntax-ppss): New function.
Stefan Monnier <monnier@iro.umontreal.ca>
parents: 92692
diff changeset
7178 ;; Regexp bug in XEmacs disallows ][ inside [], and wants + last
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7179 "\\s-*\\.\\(\\([a-zA-Z0-9`_$+@^.*?|---]+\\|[][]\\|\\\\[()|]\\)+\\)\\s-*(\\(.*\\))\\s-*\\(,\\|)\\s-*;\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7180 (setq rep (match-string-no-properties 3))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7181 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7182 (setq tpl-wild-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7183 (cons (list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7184 (concat "^"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7185 (verilog-string-replace-matches "@" "\\\\([0-9]+\\\\)" nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7186 (match-string 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7187 "$")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7188 rep
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7189 templateno lineno)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7190 tpl-wild-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7191 ((looking-at "[ \t\f]+")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7192 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7193 ((looking-at "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7194 (setq lineno (1+ lineno))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7195 (goto-char (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7196 ((looking-at "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7197 (search-forward "\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7198 ((looking-at "/\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7199 (forward-char 2)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7200 (or (search-forward "*/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7201 (error "%s: Unmatched /* */, at char %d" (verilog-point-text) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7202 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7203 (error "%s: AUTO_TEMPLATE parsing error: %s"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7204 (verilog-point-text)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7205 (progn (looking-at ".*$") (match-string 0))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7206 ;; Return
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7207 (vector tpl-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7208 (list tpl-sig-list tpl-wild-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7209 ;; If no template found
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7210 (t (vector tpl-regexp nil))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7211 ;;(progn (find-file "auto-template.v") (verilog-read-auto-template "ptl_entry"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7212
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7213 (defun verilog-set-define (defname defvalue &optional buffer enumname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7214 "Set the definition DEFNAME to the DEFVALUE in the given BUFFER.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7215 Optionally associate it with the specified enumeration ENUMNAME."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7216 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7217 (set-buffer (or buffer (current-buffer)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7218 (let ((mac (intern (concat "vh-" defname))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7219 ;;(message "Define %s=%s" defname defvalue) (sleep-for 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7220 ;; Need to define to a constant if no value given
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7221 (set (make-variable-buffer-local mac)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7222 (if (equal defvalue "") "1" defvalue)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7223 (if enumname
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7224 (let ((enumvar (intern (concat "venum-" enumname))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7225 ;;(message "Define %s=%s" defname defvalue) (sleep-for 1)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7226 (unless (boundp enumvar) (set enumvar nil))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7227 (make-variable-buffer-local enumvar)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7228 (add-to-list enumvar defname)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7229
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7230 (defun verilog-read-defines (&optional filename recurse subcall)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7231 "Read `defines and parameters for the current file, or optional FILENAME.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7232 If the filename is provided, `verilog-library-flags' will be used to
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7233 resolve it. If optional RECURSE is non-nil, recurse through `includes.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7234
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7235 Parameters must be simple assignments to constants, or have their own
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7236 \"parameter\" label rather than a list of parameters. Thus:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7237
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7238 parameter X = 5, Y = 10; // Ok
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7239 parameter X = {1'b1, 2'h2}; // Ok
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7240 parameter X = {1'b1, 2'h2}, Y = 10; // Bad, make into 2 parameter lines
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7241
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7242 Defines must be simple text substitutions, one on a line, starting
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7243 at the beginning of the line. Any ifdefs or multiline comments around the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7244 define are ignored.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7245
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7246 Defines are stored inside Emacs variables using the name vh-{definename}.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7247
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7248 This function is useful for setting vh-* variables. The file variables
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7249 feature can be used to set defines that `verilog-mode' can see; put at the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7250 *END* of your file something like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7251
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7252 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7253 // vh-macro:\"macro_definition\"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7254 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7255
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7256 If macros are defined earlier in the same file and you want their values,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7257 you can read them automatically (provided `enable-local-eval' is on):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7258
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7259 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7260 // eval:(verilog-read-defines)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7261 // eval:(verilog-read-defines \"group_standard_includes.v\")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7262 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7263
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7264 Note these are only read when the file is first visited, you must use
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7265 \\[find-alternate-file] RET to have these take effect after editing them!
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7266
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7267 If you want to disable the \"Process `eval' or hook local variables\"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7268 warning message, you need to add to your .emacs file:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7269
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7270 (setq enable-local-eval t)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7271 (let ((origbuf (current-buffer)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7272 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7273 (unless subcall (verilog-getopt-flags))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7274 (when filename
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7275 (let ((fns (verilog-library-filenames filename (buffer-file-name))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7276 (if fns
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7277 (set-buffer (find-file-noselect (car fns)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7278 (error (concat (verilog-point-text)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7279 ": Can't find verilog-read-defines file: " filename)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7280 (when recurse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7281 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7282 (while (re-search-forward "^\\s-*`include\\s-+\\([^ \t\n\f]+\\)" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7283 (let ((inc (verilog-string-replace-matches "\"" "" nil nil (match-string-no-properties 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7284 (unless (verilog-inside-comment-p)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7285 (verilog-read-defines inc recurse t)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7286 ;; Read `defines
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7287 ;; note we don't use verilog-re... it's faster this way, and that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7288 ;; function has problems when comments are at the end of the define
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7289 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7290 (while (re-search-forward "^\\s-*`define\\s-+\\([a-zA-Z0-9_$]+\\)\\s-+\\(.*\\)$" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7291 (let ((defname (match-string-no-properties 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7292 (defvalue (match-string-no-properties 2)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7293 (setq defvalue (verilog-string-replace-matches "\\s-*/[/*].*$" "" nil nil defvalue))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7294 (verilog-set-define defname defvalue origbuf)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7295 ;; Hack: Read parameters
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7296 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7297 (while (re-search-forward
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7298 "^\\s-*\\(parameter\\|localparam\\)\\(\\s-*\\[[^]]*\\]\\)?\\s-+" nil t)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7299 (let (enumname)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7300 ;; The primary way of getting defines is verilog-read-decls
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7301 ;; However, that isn't called yet for included files, so we'll add another scheme
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7302 (if (looking-at "[^\n]*synopsys\\s +enum\\s +\\([a-zA-Z0-9_]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7303 (setq enumname (match-string-no-properties 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7304 (forward-comment 999)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7305 (while (looking-at "\\s-*,?\\s-*\\([a-zA-Z0-9_$]+\\)\\s-*=\\s-*\\([^;,]*\\),?\\s-*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7306 (verilog-set-define (match-string-no-properties 1) (match-string-no-properties 2) origbuf enumname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7307 (goto-char (match-end 0))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7308 (forward-comment 999)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7309
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7310 (defun verilog-read-includes ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7311 "Read `includes for the current file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7312 This will find all of the `includes which are at the beginning of lines,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7313 ignoring any ifdefs or multiline comments around them.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7314 `verilog-read-defines' is then performed on the current and each included
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7315 file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7316
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7317 It is often useful put at the *END* of your file something like:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7318
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7319 // Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7320 // eval:(verilog-read-defines)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7321 // eval:(verilog-read-includes)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7322 // End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7323
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7324 Note includes are only read when the file is first visited, you must use
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7325 \\[find-alternate-file] RET to have these take effect after editing them!
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7326
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7327 It is good to get in the habit of including all needed files in each .v
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7328 file that needs it, rather than waiting for compile time. This will aid
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7329 this process, Verilint, and readability. To prevent defining the same
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7330 variable over and over when many modules are compiled together, put a test
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7331 around the inside each include file:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7332
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7333 foo.v (a include):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7334 `ifdef _FOO_V // include if not already included
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7335 `else
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7336 `define _FOO_V
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7337 ... contents of file
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7338 `endif // _FOO_V"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7339 ;;slow: (verilog-read-defines nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7340 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7341 (verilog-getopt-flags)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7342 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7343 (while (re-search-forward "^\\s-*`include\\s-+\\([^ \t\n\f]+\\)" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7344 (let ((inc (verilog-string-replace-matches "\"" "" nil nil (match-string 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7345 (verilog-read-defines inc nil t)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7346
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7347 (defun verilog-read-signals (&optional start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7348 "Return a simple list of all possible signals in the file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7349 Bounded by optional region from START to END. Overly aggressive but fast.
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7350 Some macros and such are also found and included. For dinotrace.el."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7351 (let (sigs-all keywd)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7352 (progn;save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7353 (goto-char (or start (point-min)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7354 (setq end (or end (point-max)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7355 (while (re-search-forward "[\"/a-zA-Z_.%`]" end t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7356 (forward-char -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7357 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7358 ((looking-at "//")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7359 (search-forward "\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7360 ((looking-at "/\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7361 (search-forward "*/"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7362 ((looking-at "(\\*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7363 (or (looking-at "(\\*\\s-*)") ; It's a "always @ (*)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7364 (search-forward "*)")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7365 ((eq ?\" (following-char))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7366 (re-search-forward "[^\\]\"")) ;; don't forward-char first, since we look for a non backslash first
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7367 ((looking-at "\\s-*\\([a-zA-Z0-9$_.%`]+\\)")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7368 (goto-char (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7369 (setq keywd (match-string-no-properties 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7370 (or (member keywd verilog-keywords)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7371 (member keywd sigs-all)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7372 (setq sigs-all (cons keywd sigs-all))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7373 (t (forward-char 1))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7374 ;; Return list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7375 sigs-all)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7376
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7377 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7378 ;; Argument file parsing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7379 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7380
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7381 (defun verilog-getopt (arglist)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7382 "Parse -f, -v etc arguments in ARGLIST list or string."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7383 (unless (listp arglist) (setq arglist (list arglist)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7384 (let ((space-args '())
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7385 arg next-param)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7386 ;; Split on spaces, so users can pass whole command lines
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7387 (while arglist
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7388 (setq arg (car arglist)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7389 arglist (cdr arglist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7390 (while (string-match "^\\([^ \t\n\f]+\\)[ \t\n\f]*\\(.*$\\)" arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7391 (setq space-args (append space-args
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7392 (list (match-string-no-properties 1 arg))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7393 (setq arg (match-string 2 arg))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7394 ;; Parse arguments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7395 (while space-args
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7396 (setq arg (car space-args)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7397 space-args (cdr space-args))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7398 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7399 ;; Need another arg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7400 ((equal arg "-f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7401 (setq next-param arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7402 ((equal arg "-v")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7403 (setq next-param arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7404 ((equal arg "-y")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7405 (setq next-param arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7406 ;; +libext+(ext1)+(ext2)...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7407 ((string-match "^\\+libext\\+\\(.*\\)" arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7408 (setq arg (match-string 1 arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7409 (while (string-match "\\([^+]+\\)\\+?\\(.*\\)" arg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7410 (verilog-add-list-unique `verilog-library-extensions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7411 (match-string 1 arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7412 (setq arg (match-string 2 arg))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7413 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7414 ((or (string-match "^-D\\([^+=]*\\)[+=]\\(.*\\)" arg) ;; -Ddefine=val
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7415 (string-match "^-D\\([^+=]*\\)\\(\\)" arg) ;; -Ddefine
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7416 (string-match "^\\+define\\([^+=]*\\)[+=]\\(.*\\)" arg) ;; +define+val
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7417 (string-match "^\\+define\\([^+=]*\\)\\(\\)" arg)) ;; +define+define
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7418 (verilog-set-define (match-string 1 arg) (match-string 2 arg)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7419 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7420 ((or (string-match "^\\+incdir\\+\\(.*\\)" arg) ;; +incdir+dir
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7421 (string-match "^-I\\(.*\\)" arg)) ;; -Idir
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7422 (verilog-add-list-unique `verilog-library-directories
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7423 (match-string 1 (substitute-in-file-name arg))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7424 ;; Ignore
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7425 ((equal "+librescan" arg))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7426 ((string-match "^-U\\(.*\\)" arg)) ;; -Udefine
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7427 ;; Second parameters
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7428 ((equal next-param "-f")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7429 (setq next-param nil)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7430 (verilog-getopt-file (substitute-in-file-name arg)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7431 ((equal next-param "-v")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7432 (setq next-param nil)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7433 (verilog-add-list-unique `verilog-library-files
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7434 (substitute-in-file-name arg)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7435 ((equal next-param "-y")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7436 (setq next-param nil)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7437 (verilog-add-list-unique `verilog-library-directories
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7438 (substitute-in-file-name arg)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7439 ;; Filename
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7440 ((string-match "^[^-+]" arg)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7441 (verilog-add-list-unique `verilog-library-files
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7442 (substitute-in-file-name arg)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7443 ;; Default - ignore; no warning
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7444 ))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7445 ;;(verilog-getopt (list "+libext+.a+.b" "+incdir+foodir" "+define+a+aval" "-f" "otherf" "-v" "library" "-y" "dir"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7446
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7447 (defun verilog-getopt-file (filename)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7448 "Read Verilog options from the specified FILENAME."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7449 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7450 (let ((fns (verilog-library-filenames filename (buffer-file-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7451 (orig-buffer (current-buffer))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7452 line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7453 (if fns
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7454 (set-buffer (find-file-noselect (car fns)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7455 (error (concat (verilog-point-text)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7456 ": Can't find verilog-getopt-file -f file: " filename)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7457 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7458 (while (not (eobp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7459 (setq line (buffer-substring (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7460 (save-excursion (end-of-line) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7461 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7462 (when (string-match "//" line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7463 (setq line (substring line 0 (match-beginning 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7464 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7465 (set-buffer orig-buffer) ; Variables are buffer-local, so need right context.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7466 (verilog-getopt line))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7467
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7468 (defun verilog-getopt-flags ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7469 "Convert `verilog-library-flags' into standard library variables."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7470 ;; If the flags are local, then all the outputs should be local also
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7471 (when (local-variable-p `verilog-library-flags (current-buffer))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7472 (mapc 'make-local-variable '(verilog-library-extensions
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7473 verilog-library-directories
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7474 verilog-library-files
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7475 verilog-library-flags)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7476 ;; Allow user to customize
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7477 (run-hooks 'verilog-before-getopt-flags-hook)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7478 ;; Process arguments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7479 (verilog-getopt verilog-library-flags)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7480 ;; Allow user to customize
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7481 (run-hooks 'verilog-getopt-flags-hook))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7482
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7483 (defun verilog-add-list-unique (varref object)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7484 "Append to VARREF list the given OBJECT,
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7485 unless it is already a member of the variable's list."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7486 (unless (member object (symbol-value varref))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7487 (set varref (append (symbol-value varref) (list object))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7488 varref)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7489 ;;(progn (setq l '()) (verilog-add-list-unique `l "a") (verilog-add-list-unique `l "a") l)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7490
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7491
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7492 ;;
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7493 ;; Cached directory support
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7494 ;;
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7495
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7496 (defvar verilog-dir-cache-preserving nil
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7497 "If set, the directory cache is enabled, and file system changes are ignored.
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7498 See `verilog-dir-exists-p' and `verilog-dir-files'.")
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7499
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7500 ;; If adding new cached variable, add also to verilog-preserve-dir-cache
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7501 (defvar verilog-dir-cache-list nil
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7502 "Alist of (((Cwd Dirname) Results)...) for caching `verilog-dir-files'.")
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7503 (defvar verilog-dir-cache-lib-filenames nil
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7504 "Cached data for `verilog-library-filenames'.")
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7505
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7506 (defmacro verilog-preserve-dir-cache (&rest body)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7507 "Execute the BODY forms, allowing directory cache preservation within BODY.
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7508 This means that changes inside BODY made to the file system will not be
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7509 seen by the `verilog-dir-files' and related functions."
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7510 `(let ((verilog-dir-cache-preserving t)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7511 verilog-dir-cache-list
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7512 verilog-dir-cache-lib-filenames)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7513 (progn ,@body)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7514
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7515 (defun verilog-dir-files (dirname)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7516 "Return all filenames in the DIRNAME directory.
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7517 Relative paths depend on the `default-directory'.
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7518 Results are cached if inside `verilog-preserve-dir-cache'."
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7519 (unless verilog-dir-cache-preserving
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7520 (setq verilog-dir-cache-list nil)) ;; Cache disabled
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7521 ;; We don't use expand-file-name on the dirname to make key, as it's slow
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7522 (let* ((cache-key (list dirname default-directory))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7523 (fass (assoc cache-key verilog-dir-cache-list))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7524 exp-dirname data)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7525 (cond (fass ;; Return data from cache hit
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7526 (nth 1 fass))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7527 (t
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7528 (setq exp-dirname (expand-file-name dirname)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7529 data (and (file-directory-p exp-dirname)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7530 (directory-files exp-dirname nil nil nil)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7531 ;; Note we also encache nil for non-existing dirs.
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7532 (setq verilog-dir-cache-list (cons (list cache-key data)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7533 verilog-dir-cache-list))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7534 data))))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7535 ;; Miss-and-hit test:
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7536 ;;(verilog-preserve-dir-cache (prin1 (verilog-dir-files "."))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7537 ;; (prin1 (verilog-dir-files ".")) nil)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7538
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7539 (defun verilog-dir-file-exists-p (filename)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7540 "Return true if FILENAME exists.
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7541 Like `file-exists-p' but results are cached if inside
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7542 `verilog-preserve-dir-cache'."
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7543 (let* ((dirname (file-name-directory filename))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7544 ;; Correct for file-name-nondirectory returning same if no slash.
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7545 (dirnamed (if (or (not dirname) (equal dirname filename))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7546 default-directory dirname))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7547 (flist (verilog-dir-files dirnamed)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7548 (and flist
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7549 (member (file-name-nondirectory filename) flist)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7550 t)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7551 ;;(verilog-dir-file-exists-p "verilog-mode.el")
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7552 ;;(verilog-dir-file-exists-p "../verilog-mode/verilog-mode.el")
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7553
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7554
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7555 ;;
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7556 ;; Module name lookup
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7557 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7558
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7559 (defun verilog-module-inside-filename-p (module filename)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7560 "Return point if MODULE is specified inside FILENAME, else nil.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7561 Allows version control to check out the file if need be."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7562 (and (or (file-exists-p filename)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7563 (and (fboundp 'vc-backend)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7564 (vc-backend filename)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7565 (let (pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7566 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7567 (set-buffer (find-file-noselect filename))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7568 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7569 (while (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7570 ;; It may be tempting to look for verilog-defun-re, don't, it slows things down a lot!
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7571 (verilog-re-search-forward-quick "\\<module\\>" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7572 (verilog-re-search-forward-quick "[(;]" nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7573 (if (equal module (verilog-read-module-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7574 (setq pt (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7575 pt))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7576
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7577 (defun verilog-is-number (symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7578 "Return true if SYMBOL is number-like."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7579 (or (string-match "^[0-9 \t:]+$" symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7580 (string-match "^[---]*[0-9]+$" symbol)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7581 (string-match "^[0-9 \t]+'s?[hdxbo][0-9a-fA-F_xz? \t]*$" symbol)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7582
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7583 (defun verilog-symbol-detick (symbol wing-it)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7584 "Return an expanded SYMBOL name without any defines.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7585 If the variable vh-{symbol} is defined, return that value.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7586 If undefined, and WING-IT, return just SYMBOL without the tick, else nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7587 (while (and symbol (string-match "^`" symbol))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7588 (setq symbol (substring symbol 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7589 (setq symbol
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7590 (if (boundp (intern (concat "vh-" symbol)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7591 ;; Emacs has a bug where boundp on a buffer-local
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7592 ;; variable in only one buffer returns t in another.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7593 ;; This can confuse, so check for nil.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7594 (let ((val (eval (intern (concat "vh-" symbol)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7595 (if (eq val nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7596 (if wing-it symbol nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7597 val))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7598 (if wing-it symbol nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7599 symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7600 ;;(verilog-symbol-detick "`mod" nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7601
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7602 (defun verilog-symbol-detick-denumber (symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7603 "Return SYMBOL with defines converted and any numbers dropped to nil."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7604 (when (string-match "^`" symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7605 ;; This only will work if the define is a simple signal, not
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7606 ;; something like a[b]. Sorry, it should be substituted into the parser
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7607 (setq symbol
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7608 (verilog-string-replace-matches
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7609 "\[[^0-9: \t]+\]" "" nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7610 (or (verilog-symbol-detick symbol nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7611 (if verilog-auto-sense-defines-constant
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7612 "0"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7613 symbol)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7614 (if (verilog-is-number symbol)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7615 nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7616 symbol))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7617
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7618 (defun verilog-symbol-detick-text (text)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7619 "Return TEXT without any known defines.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7620 If the variable vh-{symbol} is defined, substitute that value."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7621 (let ((ok t) symbol val)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7622 (while (and ok (string-match "`\\([a-zA-Z0-9_]+\\)" text))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7623 (setq symbol (match-string 1 text))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7624 ;;(message symbol)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7625 (cond ((and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7626 (boundp (intern (concat "vh-" symbol)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7627 ;; Emacs has a bug where boundp on a buffer-local
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7628 ;; variable in only one buffer returns t in another.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7629 ;; This can confuse, so check for nil.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7630 (setq val (eval (intern (concat "vh-" symbol)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7631 (setq text (replace-match val nil nil text)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7632 (t (setq ok nil)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7633 text)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7634 ;;(progn (setq vh-mod "`foo" vh-foo "bar") (verilog-symbol-detick-text "bar `mod `undefed"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7635
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7636 (defun verilog-expand-dirnames (&optional dirnames)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7637 "Return a list of existing directories given a list of wildcarded DIRNAMES.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7638 Or, just the existing dirnames themselves if there are no wildcards."
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7639 ;; Note this function is performance critical.
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7640 ;; Do not call anything that requires disk access that cannot be cached.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7641 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7642 (unless dirnames (error "`verilog-library-directories' should include at least '.'"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7643 (setq dirnames (reverse dirnames)) ; not nreverse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7644 (let ((dirlist nil)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7645 pattern dirfile dirfiles dirname root filename rest basefile)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7646 (while dirnames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7647 (setq dirname (substitute-in-file-name (car dirnames))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7648 dirnames (cdr dirnames))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7649 (cond ((string-match (concat "^\\(\\|[/\\]*[^*?]*[/\\]\\)" ;; root
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7650 "\\([^/\\]*[*?][^/\\]*\\)" ;; filename with *?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7651 "\\(.*\\)") ;; rest
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7652 dirname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7653 (setq root (match-string 1 dirname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7654 filename (match-string 2 dirname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7655 rest (match-string 3 dirname)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7656 pattern filename)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7657 ;; now replace those * and ? with .+ and .
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7658 ;; use ^ and /> to get only whole file names
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7659 (setq pattern (verilog-string-replace-matches "[*]" ".+" nil nil pattern)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7660 pattern (verilog-string-replace-matches "[?]" "." nil nil pattern)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7661 pattern (concat "^" pattern "$")
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7662 dirfiles (verilog-dir-files root))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7663 (while dirfiles
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7664 (setq basefile (car dirfiles)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7665 dirfile (expand-file-name (concat root basefile rest))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7666 dirfiles (cdr dirfiles))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7667 (if (and (string-match pattern basefile)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7668 ;; Don't allow abc/*/rtl to match abc/rtl via ..
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7669 (not (equal basefile "."))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7670 (not (equal basefile ".."))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7671 (file-directory-p dirfile))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7672 (setq dirlist (cons dirfile dirlist)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7673 ;; Defaults
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7674 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7675 (if (file-directory-p dirname)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7676 (setq dirlist (cons dirname dirlist))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7677 dirlist))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7678 ;;(verilog-expand-dirnames (list "." ".." "nonexist" "../*" "/home/wsnyder/*/v"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7679
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7680 (defun verilog-library-filenames (filename current &optional check-ext)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7681 "Return a search path to find the given FILENAME or module name.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7682 Uses the CURRENT filename, `verilog-library-directories' and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7683 `verilog-library-extensions' variables to build the path.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7684 With optional CHECK-EXT also check `verilog-library-extensions'."
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7685 (unless verilog-dir-cache-preserving
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7686 (setq verilog-dir-cache-lib-filenames nil))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7687 (let* ((cache-key (list filename current check-ext))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7688 (fass (assoc cache-key verilog-dir-cache-lib-filenames))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7689 chkdirs chkdir chkexts fn outlist)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7690 (cond (fass ;; Return data from cache hit
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7691 (nth 1 fass))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7692 (t
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7693 ;; Note this expand can't be easily cached, as we need to
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7694 ;; pick up buffer-local variables for newly read sub-module files
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7695 (setq chkdirs (verilog-expand-dirnames verilog-library-directories))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7696 (while chkdirs
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7697 (setq chkdir (expand-file-name (car chkdirs)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7698 (file-name-directory current))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7699 chkexts (if check-ext verilog-library-extensions `("")))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7700 (while chkexts
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7701 (setq fn (expand-file-name (concat filename (car chkexts))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7702 chkdir))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7703 ;;(message "Check for %s" fn)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7704 (if (verilog-dir-file-exists-p fn)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7705 (setq outlist (cons (expand-file-name
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7706 fn (file-name-directory current))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7707 outlist)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7708 (setq chkexts (cdr chkexts)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7709 (setq chkdirs (cdr chkdirs)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7710 (setq outlist (nreverse outlist))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7711 (setq verilog-dir-cache-lib-filenames
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7712 (cons (list cache-key outlist)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7713 verilog-dir-cache-lib-filenames))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7714 outlist))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7715
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7716 (defun verilog-module-filenames (module current)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7717 "Return a search path to find the given MODULE name.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7718 Uses the CURRENT filename, `verilog-library-extensions',
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7719 `verilog-library-directories' and `verilog-library-files'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7720 variables to build the path."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7721 ;; Return search locations for it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7722 (append (list current) ; first, current buffer
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7723 (verilog-library-filenames module current t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7724 verilog-library-files)) ; finally, any libraries
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7725
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7726 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7727 ;; Module Information
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7728 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7729 ;; Many of these functions work on "modi" a module information structure
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7730 ;; A modi is: [module-name-string file-name begin-point]
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7731
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7732 (defvar verilog-cache-enabled t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7733 "If true, enable caching of signals, etc. Set to nil for debugging to make things SLOW!")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7734
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7735 (defvar verilog-modi-cache-list nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7736 "Cache of ((Module Function) Buf-Tick Buf-Modtime Func-Returns)...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7737 For speeding up verilog-modi-get-* commands.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7738 Buffer-local.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7739
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7740 (make-variable-buffer-local 'verilog-modi-cache-list)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
7741
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7742 (defvar verilog-modi-cache-preserve-tick nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7743 "Modification tick after which the cache is still considered valid.
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7744 Use `verilog-preserve-modi-cache' to set it.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7745 (defvar verilog-modi-cache-preserve-buffer nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7746 "Modification tick after which the cache is still considered valid.
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7747 Use `verilog-preserve-modi-cache' to set it.")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7748
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7749 (defun verilog-modi-current ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7750 "Return the modi structure for the module currently at point."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7751 (let* (name pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7752 ;; read current module's name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7753 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7754 (verilog-re-search-backward-quick verilog-defun-re nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7755 (verilog-re-search-forward-quick "(" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7756 (setq name (verilog-read-module-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7757 (setq pt (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7758 ;; return
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7759 (vector name (or (buffer-file-name) (current-buffer)) pt)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7760
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7761 (defvar verilog-modi-lookup-last-mod nil "Cache of last module looked up.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7762 (defvar verilog-modi-lookup-last-modi nil "Cache of last modi returned.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7763 (defvar verilog-modi-lookup-last-current nil "Cache of last `current-buffer' looked up.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7764 (defvar verilog-modi-lookup-last-tick nil "Cache of last `buffer-modified-tick' looked up.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7765
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7766 (defun verilog-modi-lookup (module allow-cache &optional ignore-error)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7767 "Find the file and point at which MODULE is defined.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7768 If ALLOW-CACHE is set, check and remember cache of previous lookups.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7769 Return modi if successful, else print message unless IGNORE-ERROR is true."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7770 (let* ((current (or (buffer-file-name) (current-buffer))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7771 (cond ((and verilog-modi-lookup-last-modi
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7772 verilog-cache-enabled
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7773 allow-cache
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7774 (equal verilog-modi-lookup-last-mod module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7775 (equal verilog-modi-lookup-last-current current)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7776 (equal verilog-modi-lookup-last-tick (buffer-modified-tick)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7777 ;; ok as is
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7778 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7779 (t (let* ((realmod (verilog-symbol-detick module t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7780 (orig-filenames (verilog-module-filenames realmod current))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7781 (filenames orig-filenames)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7782 pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7783 (while (and filenames (not pt))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7784 (if (not (setq pt (verilog-module-inside-filename-p realmod (car filenames))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7785 (setq filenames (cdr filenames))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7786 (cond (pt (setq verilog-modi-lookup-last-modi
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7787 (vector realmod (car filenames) pt)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7788 (t (setq verilog-modi-lookup-last-modi nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7789 (or ignore-error
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7790 (error (concat (verilog-point-text)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7791 ": Can't locate " module " module definition"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7792 (if (not (equal module realmod))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7793 (concat " (Expanded macro to " realmod ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7794 "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7795 "\n Check the verilog-library-directories variable."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7796 "\n I looked in (if not listed, doesn't exist):\n\t"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7797 (mapconcat 'concat orig-filenames "\n\t"))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7798 (setq verilog-modi-lookup-last-mod module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7799 verilog-modi-lookup-last-current current
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7800 verilog-modi-lookup-last-tick (buffer-modified-tick)))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7801 verilog-modi-lookup-last-modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7802
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7803 (defsubst verilog-modi-name (modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7804 (aref modi 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7805 (defsubst verilog-modi-file-or-buffer (modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7806 (aref modi 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7807 (defsubst verilog-modi-point (modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7808 (aref modi 2))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7809
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7810 (defun verilog-modi-filename (modi)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7811 "Filename of MODI, or name of buffer if it's never been saved."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7812 (if (bufferp (verilog-modi-file-or-buffer modi))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7813 (or (buffer-file-name (verilog-modi-file-or-buffer modi))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7814 (buffer-name (verilog-modi-file-or-buffer modi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7815 (verilog-modi-file-or-buffer modi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7816
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7817 (defun verilog-modi-goto (modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7818 "Move point/buffer to specified MODI."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7819 (or modi (error "Passed unfound modi to goto, check earlier"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7820 (set-buffer (if (bufferp (verilog-modi-file-or-buffer modi))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7821 (verilog-modi-file-or-buffer modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7822 (find-file-noselect (verilog-modi-file-or-buffer modi))))
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
7823 (or (equal major-mode `verilog-mode) ;; Put into Verilog mode to get syntax
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7824 (verilog-mode))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7825 (goto-char (verilog-modi-point modi)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7826
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7827 (defun verilog-goto-defun-file (module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7828 "Move point to the file at which a given MODULE is defined."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7829 (interactive "sGoto File for Module: ")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7830 (let* ((modi (verilog-modi-lookup module nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7831 (when modi
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7832 (verilog-modi-goto modi)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7833 (switch-to-buffer (current-buffer)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7834
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7835 (defun verilog-modi-cache-results (modi function)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7836 "Run on MODI the given FUNCTION. Locate the module in a file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7837 Cache the output of function so next call may have faster access."
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7838 (let (fass)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7839 (save-excursion ;; Cache is buffer-local so can't avoid this.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7840 (verilog-modi-goto modi)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7841 (if (and (setq fass (assoc (list modi function)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7842 verilog-modi-cache-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7843 ;; Destroy caching when incorrect; Modified or file changed
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7844 (not (and verilog-cache-enabled
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7845 (or (equal (buffer-modified-tick) (nth 1 fass))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7846 (and verilog-modi-cache-preserve-tick
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7847 (<= verilog-modi-cache-preserve-tick (nth 1 fass))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7848 (equal verilog-modi-cache-preserve-buffer (current-buffer))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7849 (equal (visited-file-modtime) (nth 2 fass)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7850 (setq verilog-modi-cache-list nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7851 fass nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7852 (cond (fass
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7853 ;; Return data from cache hit
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7854 (nth 3 fass))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7855 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7856 ;; Read from file
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7857 ;; Clear then restore any hilighting to make emacs19 happy
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7858 (let ((fontlocked (when (and (boundp 'font-lock-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7859 font-lock-mode)
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
7860 (font-lock-mode 0)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7861 t))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7862 func-returns)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7863 (setq func-returns (funcall function))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7864 (when fontlocked (font-lock-mode t))
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
7865 ;; Cache for next time
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
7866 (setq verilog-modi-cache-list
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7867 (cons (list (list modi function)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
7868 (buffer-modified-tick)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
7869 (visited-file-modtime)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
7870 func-returns)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7871 verilog-modi-cache-list))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7872 func-returns))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7873
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7874 (defun verilog-modi-cache-add (modi function element sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7875 "Add function return results to the module cache.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7876 Update MODI's cache for given FUNCTION so that the return ELEMENT of that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7877 function now contains the additional SIG-LIST parameters."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7878 (let (fass)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7879 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7880 (verilog-modi-goto modi)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7881 (if (setq fass (assoc (list modi function)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7882 verilog-modi-cache-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7883 (let ((func-returns (nth 3 fass)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7884 (aset func-returns element
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7885 (append sig-list (aref func-returns element))))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7886
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7887 (defmacro verilog-preserve-modi-cache (&rest body)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7888 "Execute the BODY forms, allowing cache preservation within BODY.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7889 This means that changes to the buffer will not result in the cache being
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7890 flushed. If the changes affect the modsig state, they must call the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7891 modsig-cache-add-* function, else the results of later calls may be
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7892 incorrect. Without this, changes are assumed to be adding/removing signals
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7893 and invalidating the cache."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7894 `(let ((verilog-modi-cache-preserve-tick (buffer-modified-tick))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7895 (verilog-modi-cache-preserve-buffer (current-buffer)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7896 (progn ,@body)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7897
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7898
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7899 (defun verilog-signals-matching-enum (in-list enum)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7900 "Return all signals in IN-LIST matching the given ENUM."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7901 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7902 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7903 (if (equal (verilog-sig-enum (car in-list)) enum)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7904 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7905 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7906 ;; New scheme
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7907 (let* ((enumvar (intern (concat "venum-" enum)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7908 (enumlist (and (boundp enumvar) (eval enumvar))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7909 (while enumlist
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7910 (add-to-list 'out-list (list (car enumlist)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7911 (setq enumlist (cdr enumlist))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7912 (nreverse out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7913
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
7914 (defun verilog-signals-matching-regexp (in-list regexp)
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
7915 "Return all signals in IN-LIST matching the given REGEXP, if non-nil."
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7916 (if (or (not regexp) (equal regexp ""))
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
7917 in-list
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
7918 (let (out-list)
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
7919 (while in-list
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
7920 (if (string-match regexp (verilog-sig-name (car in-list)))
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
7921 (setq out-list (cons (car in-list) out-list)))
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
7922 (setq in-list (cdr in-list)))
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
7923 (nreverse out-list))))
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
7924
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7925 (defun verilog-signals-not-matching-regexp (in-list regexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7926 "Return all signals in IN-LIST not matching the given REGEXP, if non-nil."
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7927 (if (or (not regexp) (equal regexp ""))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7928 in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7929 (let (out-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7930 (while in-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7931 (if (not (string-match regexp (verilog-sig-name (car in-list))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7932 (setq out-list (cons (car in-list) out-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7933 (setq in-list (cdr in-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7934 (nreverse out-list))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7935
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7936 (defun verilog-signals-matching-dir-re (in-list decl-type regexp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7937 "Return all signals in IN-LIST matching the given directional REGEXP,
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7938 if non-nil."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7939 (if (or (not regexp) (equal regexp ""))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7940 in-list
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7941 (let (out-list to-match)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7942 (while in-list
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7943 ;; Note verilog-insert-one-definition matches on this order
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7944 (setq to-match (concat
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7945 decl-type
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7946 " " (verilog-sig-signed (car in-list))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7947 " " (verilog-sig-multidim (car in-list))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7948 (verilog-sig-bits (car in-list))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7949 (if (string-match regexp to-match)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7950 (setq out-list (cons (car in-list) out-list)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7951 (setq in-list (cdr in-list)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7952 (nreverse out-list))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
7953
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7954 ;; Combined
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7955 (defun verilog-decls-get-signals (decls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7956 (append
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7957 (verilog-decls-get-outputs decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7958 (verilog-decls-get-inouts decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7959 (verilog-decls-get-inputs decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7960 (verilog-decls-get-wires decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7961 (verilog-decls-get-regs decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7962 (verilog-decls-get-assigns decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7963 (verilog-decls-get-consts decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7964 (verilog-decls-get-gparams decls)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7965
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7966 (defun verilog-decls-get-ports (decls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7967 (append
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7968 (verilog-decls-get-outputs decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7969 (verilog-decls-get-inouts decls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
7970 (verilog-decls-get-inputs decls)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7971
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7972 (defsubst verilog-modi-cache-add-outputs (modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7973 (verilog-modi-cache-add modi 'verilog-read-decls 0 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7974 (defsubst verilog-modi-cache-add-inouts (modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7975 (verilog-modi-cache-add modi 'verilog-read-decls 1 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7976 (defsubst verilog-modi-cache-add-inputs (modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7977 (verilog-modi-cache-add modi 'verilog-read-decls 2 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7978 (defsubst verilog-modi-cache-add-wires (modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7979 (verilog-modi-cache-add modi 'verilog-read-decls 3 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7980 (defsubst verilog-modi-cache-add-regs (modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7981 (verilog-modi-cache-add modi 'verilog-read-decls 4 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7982
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7983 (defun verilog-signals-from-signame (signame-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7984 "Return signals in standard form from SIGNAME-LIST, a simple list of signal names."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7985 (mapcar (function (lambda (name) (list name nil nil)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7986 signame-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7987
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7988 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7989 ;; Auto creation utilities
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7990 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7991
93095
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
7992 (defun verilog-auto-re-search-do (search-for func)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
7993 "Search for the given auto text regexp SEARCH-FOR, and perform FUNC where it occurs."
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
7994 (goto-char (point-min))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
7995 (while (verilog-re-search-forward search-for nil t)
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
7996 (funcall func)))
c67e7bd43423 (verilog-easy-menu-filter): New function.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93066
diff changeset
7997
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
7998 (defun verilog-insert-one-definition (sig type indent-pt)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
7999 "Print out a definition for SIG of the given TYPE,
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8000 with appropriate INDENT-PT indentation."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8001 (indent-to indent-pt)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8002 ;; Note verilog-signals-matching-dir-re matches on this order
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8003 (insert type)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8004 (when (verilog-sig-modport sig)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8005 (insert "." (verilog-sig-modport sig)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8006 (when (verilog-sig-signed sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8007 (insert " " (verilog-sig-signed sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8008 (when (verilog-sig-multidim sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8009 (insert " " (verilog-sig-multidim-string sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8010 (when (verilog-sig-bits sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8011 (insert " " (verilog-sig-bits sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8012 (indent-to (max 24 (+ indent-pt 16)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8013 (unless (= (char-syntax (preceding-char)) ?\ )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8014 (insert " ")) ; Need space between "]name" if indent-to did nothing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8015 (insert (verilog-sig-name sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8016
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8017 (defun verilog-insert-definition (sigs direction indent-pt v2k &optional dont-sort)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8018 "Print out a definition for a list of SIGS of the given DIRECTION,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8019 with appropriate INDENT-PT indentation. If V2K, use Verilog 2001 I/O
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8020 format. Sort unless DONT-SORT. DIRECTION is normally wire/reg/output."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8021 (or dont-sort
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8022 (setq sigs (sort (copy-alist sigs) `verilog-signals-sort-compare)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8023 (while sigs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8024 (let ((sig (car sigs)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8025 (verilog-insert-one-definition
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8026 sig
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8027 ;; Want "type x" or "output type x", not "wire type x"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8028 (cond ((verilog-sig-type sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8029 (concat
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8030 (if (not (member direction '("wire" "interface")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8031 (concat direction " "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8032 (verilog-sig-type sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8033 (t direction))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8034 indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8035 (insert (if v2k "," ";"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8036 (if (or (not (verilog-sig-comment sig))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8037 (equal "" (verilog-sig-comment sig)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8038 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8039 (indent-to (max 48 (+ indent-pt 40)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8040 (insert (concat "// " (verilog-sig-comment sig) "\n")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8041 (setq sigs (cdr sigs)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8042
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8043 (eval-when-compile
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8044 (if (not (boundp 'indent-pt))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8045 (defvar indent-pt nil "Local used by insert-indent")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8046
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8047 (defun verilog-insert-indent (&rest stuff)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8048 "Indent to position stored in local `indent-pt' variable, then insert STUFF.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8049 Presumes that any newlines end a list element."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8050 (let ((need-indent t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8051 (while stuff
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8052 (if need-indent (indent-to indent-pt))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8053 (setq need-indent nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8054 (insert (car stuff))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8055 (setq need-indent (string-match "\n$" (car stuff))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8056 stuff (cdr stuff)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8057 ;;(let ((indent-pt 10)) (verilog-insert-indent "hello\n" "addon" "there\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8058
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8059 (defun verilog-repair-open-comma ()
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8060 "Insert comma if previous argument is other than a open parenthesis or endif."
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8061 ;; We can't just search backward for ) as it might be inside another expression.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8062 ;; Also want "`ifdef X input foo `endif" to just leave things to the human to deal with
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8063 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8064 (verilog-backward-syntactic-ws)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8065 (when (and (not (save-excursion ;; Not beginning (, or existing ,
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8066 (backward-char 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8067 (looking-at "[(,]")))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8068 (not (save-excursion ;; Not `endif, or user define
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8069 (backward-char 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8070 (skip-chars-backward "[a-zA-Z0-9_`]")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8071 (looking-at "`"))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8072 (insert ","))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8073
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8074 (defun verilog-repair-close-comma ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8075 "If point is at a comma followed by a close parenthesis, fix it.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8076 This repairs those mis-inserted by a AUTOARG."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8077 ;; It would be much nicer if Verilog allowed extra commas like Perl does!
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8078 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8079 (verilog-forward-close-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8080 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8081 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8082 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8083 (when (looking-at ",")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8084 (delete-char 1))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8085
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8086 (defun verilog-get-list (start end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8087 "Return the elements of a comma separated list between START and END."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8088 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8089 (let ((my-list (list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8090 my-string)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8091 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8092 (while (< (point) end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8093 (when (re-search-forward "\\([^,{]+\\)" end t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8094 (setq my-string (verilog-string-remove-spaces (match-string 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8095 (setq my-list (nconc my-list (list my-string) ))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8096 (goto-char (match-end 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8097 my-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8098
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8099 (defun verilog-make-width-expression (range-exp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8100 "Return an expression calculating the length of a range [x:y] in RANGE-EXP."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8101 ;; strip off the []
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8102 (cond ((not range-exp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8103 "1")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8104 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8105 (if (string-match "^\\[\\(.*\\)\\]$" range-exp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8106 (setq range-exp (match-string 1 range-exp)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8107 (cond ((not range-exp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8108 "1")
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8109 ;; [#:#] We can compute a numeric result
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8110 ((string-match "^\\s *\\([0-9]+\\)\\s *:\\s *\\([0-9]+\\)\\s *$"
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8111 range-exp)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8112 (int-to-string
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8113 (1+ (abs (- (string-to-number (match-string 1 range-exp))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8114 (string-to-number (match-string 2 range-exp)))))))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8115 ;; [PARAM-1:0] can just return PARAM
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8116 ((string-match "^\\s *\\([a-zA-Z_][a-zA-Z0-9_]*\\)\\s *-\\s *1\\s *:\\s *0\\s *$" range-exp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8117 (match-string 1 range-exp))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8118 ;; [arbitrary] need math
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8119 ((string-match "^\\(.*\\)\\s *:\\s *\\(.*\\)\\s *$" range-exp)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8120 (concat "(1+(" (match-string 1 range-exp) ")"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8121 (if (equal "0" (match-string 2 range-exp))
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8122 "" ;; Don't bother with -(0)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8123 (concat "-(" (match-string 2 range-exp) ")"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8124 ")"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8125 (t nil)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8126 ;;(verilog-make-width-expression "`A:`B")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8127
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8128 (defun verilog-simplify-range-expression (range-exp)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8129 "Return a simplified range expression with constants eliminated from RANGE-EXP."
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8130 (let ((out range-exp)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8131 (last-pass ""))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8132 (while (not (equal last-pass out))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8133 (setq last-pass out)
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
8134 (while (string-match "(\\<\\([0-9A-Z-az_]+\\)\\>)" out)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8135 (setq out (replace-match "\\1" nil nil out)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8136 (while (string-match "\\<\\([0-9]+\\)\\>\\s *\\+\\s *\\<\\([0-9]+\\)\\>" out)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8137 (setq out (replace-match
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8138 (int-to-string (+ (string-to-number (match-string 1 out))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8139 (string-to-number (match-string 2 out))))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8140 nil nil out)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8141 (while (string-match "\\<\\([0-9]+\\)\\>\\s *\\-\\s *\\<\\([0-9]+\\)\\>" out)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8142 (setq out (replace-match
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8143 (int-to-string (- (string-to-number (match-string 1 out))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8144 (string-to-number (match-string 2 out))))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8145 nil nil out))))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8146 out))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8147 ;;(verilog-simplify-range-expression "1")
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8148 ;;(verilog-simplify-range-expression "(((16)+1)-3)")
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8149
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8150 (defun verilog-typedef-name-p (variable-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8151 "Return true if the VARIABLE-NAME is a type definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8152 (when verilog-typedef-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8153 (string-match verilog-typedef-regexp variable-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8154
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8155 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8156 ;; Auto deletion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8157 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8158
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8159 (defun verilog-delete-autos-lined ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8160 "Delete autos that occupy multiple lines, between begin and end comments."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8161 (let ((pt (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8162 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8163 (when (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8164 (looking-at "\\s-*// Beginning")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8165 (search-forward "// End of automatic" nil t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8166 ;; End exists
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8167 (end-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8168 (delete-region pt (point))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8169 (forward-line 1))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8170
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8171 (defun verilog-forward-close-paren ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8172 "Find the close parenthesis that match the current point.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8173 Ignore other close parenthesis with matching open parens."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8174 (let ((parens 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8175 (while (> parens 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8176 (unless (verilog-re-search-forward-quick "[()]" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8177 (error "%s: Mismatching ()" (verilog-point-text)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8178 (cond ((= (preceding-char) ?\( )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8179 (setq parens (1+ parens)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8180 ((= (preceding-char) ?\) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8181 (setq parens (1- parens)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8182
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8183 (defun verilog-backward-open-paren ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8184 "Find the open parenthesis that match the current point.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8185 Ignore other open parenthesis with matching close parens."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8186 (let ((parens 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8187 (while (> parens 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8188 (unless (verilog-re-search-backward-quick "[()]" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8189 (error "%s: Mismatching ()" (verilog-point-text)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8190 (cond ((= (following-char) ?\) )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8191 (setq parens (1+ parens)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8192 ((= (following-char) ?\( )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8193 (setq parens (1- parens)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8194
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8195 (defun verilog-backward-open-bracket ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8196 "Find the open bracket that match the current point.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8197 Ignore other open bracket with matching close bracket."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8198 (let ((parens 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8199 (while (> parens 0)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8200 (unless (verilog-re-search-backward-quick "[][]" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8201 (error "%s: Mismatching []" (verilog-point-text)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8202 (cond ((= (following-char) ?\] )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8203 (setq parens (1+ parens)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8204 ((= (following-char) ?\[ )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8205 (setq parens (1- parens)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8206
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8207 (defun verilog-delete-to-paren ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8208 "Delete the automatic inst/sense/arg created by autos.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8209 Deletion stops at the matching end parenthesis."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8210 (delete-region (point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8211 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8212 (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8213 (forward-sexp 1) ;; Moves to paren that closes argdecl's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8214 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8215 (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8216
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8217 (defun verilog-auto-star-safe ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8218 "Return if a .* AUTOINST is safe to delete or expand.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8219 It was created by the AUTOS themselves, or by the user."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8220 (and verilog-auto-star-expand
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8221 (looking-at "[ \t\n\f,]*\\([)]\\|// \\(Outputs\\|Inouts\\|Inputs\\)\\)")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8222
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8223 (defun verilog-delete-auto-star-all ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8224 "Delete a .* AUTOINST, if it is safe."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8225 (when (verilog-auto-star-safe)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8226 (verilog-delete-to-paren)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8227
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8228 (defun verilog-delete-auto-star-implicit ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8229 "Delete all .* implicit connections created by `verilog-auto-star'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8230 This function will be called automatically at save unless
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8231 `verilog-auto-star-save' is set, any non-templated expanded pins will be
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8232 removed."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8233 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8234 (let (paren-pt indent have-close-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8235 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8236 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8237 ;; We need to match these even outside of comments.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8238 ;; For reasonable performance, we don't check if inside comments, sorry.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8239 (while (re-search-forward "// Implicit \\.\\*" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8240 (setq paren-pt (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8241 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8242 (setq have-close-paren
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8243 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8244 (when (search-forward ");" paren-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8245 (setq indent (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8246 t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8247 (delete-region (point) (+ 1 paren-pt)) ; Nuke line incl CR
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8248 (when have-close-paren
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8249 ;; Delete extra commentary
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8250 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8251 (while (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8252 (forward-line -1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8253 (looking-at "\\s *//\\s *\\(Outputs\\|Inouts\\|Inputs\\)\n"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8254 (delete-region (match-beginning 0) (match-end 0))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8255 ;; If it is simple, we can put the ); on the same line as the last text
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8256 (let ((rtn-pt (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8257 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8258 (while (progn (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8259 (looking-at "[ \t\n\f]")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8260 (when (looking-at ",")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8261 (delete-region (+ 1 (point)) rtn-pt))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8262 (when (bolp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8263 (indent-to indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8264 (insert ");\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8265 ;; Still need to kill final comma - always is one as we put one after the .*
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8266 (re-search-backward ",")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8267 (delete-char 1))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8268
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8269 (defun verilog-delete-auto ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8270 "Delete the automatic outputs, regs, and wires created by \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8271 Use \\[verilog-auto] to re-insert the updated AUTOs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8272
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8273 The hooks `verilog-before-delete-auto-hook' and `verilog-delete-auto-hook' are
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8274 called before and after this function, respectively."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8275 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8276 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8277 (if (buffer-file-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8278 (find-file-noselect (buffer-file-name))) ;; To check we have latest version
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8279 ;; Allow user to customize
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8280 (run-hooks 'verilog-before-delete-auto-hook)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8281
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8282 ;; Remove those that have multi-line insertions, possibly with parameters
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
8283 (verilog-auto-re-search-do
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8284 (concat "/\\*"
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8285 (eval-when-compile
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8286 (verilog-regexp-words
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8287 `("AUTOASCIIENUM" "AUTOCONCATCOMMENT" "AUTODEFINEVALUE"
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
8288 "AUTOINOUT" "AUTOINOUTCOMP" "AUTOINOUTMODULE"
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8289 "AUTOINPUT" "AUTOINSERTLISP" "AUTOOUTPUT" "AUTOOUTPUTEVERY"
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8290 "AUTOREG" "AUTOREGINPUT" "AUTORESET" "AUTOTIEOFF"
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8291 "AUTOUNUSED" "AUTOWIRE")))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8292 ;; Optional parens or quoted parameter or .* for (((...)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8293 "\\(\\|([^)]*)\\|(\"[^\"]*\")\\|.*?\\)"
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8294 "\\*/")
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8295 'verilog-delete-autos-lined)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8296 ;; Remove those that are in parenthesis
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8297 (verilog-auto-re-search-do
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8298 (concat "/\\*"
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8299 (eval-when-compile
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8300 (verilog-regexp-words
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8301 `("AS" "AUTOARG" "AUTOCONCATWIDTH" "AUTOINST" "AUTOINSTPARAM"
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8302 "AUTOSENSE")))
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8303 "\\*/")
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
8304 'verilog-delete-to-paren)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8305 ;; Do .* instantiations, but avoid removing any user pins by looking for our magic comments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8306 (verilog-auto-re-search-do "\\.\\*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8307 'verilog-delete-auto-star-all)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8308 ;; Remove template comments ... anywhere in case was pasted after AUTOINST removed
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8309 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8310 (while (re-search-forward "\\s-*// \\(Templated\\|Implicit \\.\\*\\)[ \tLT0-9]*$" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8311 (replace-match ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8312
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8313 ;; Final customize
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8314 (run-hooks 'verilog-delete-auto-hook)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8315
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8316 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8317 ;; Auto inject
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8318 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8319
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8320 (defun verilog-inject-auto ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8321 "Examine legacy non-AUTO code and insert AUTOs in appropriate places.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8322
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8323 Any always @ blocks with sensitivity lists that match computed lists will
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8324 be replaced with /*AS*/ comments.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8325
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8326 Any cells will get /*AUTOINST*/ added to the end of the pin list.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8327 Pins with have identical names will be deleted.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8328
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8329 Argument lists will not be deleted, /*AUTOARG*/ will only be inserted to
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8330 support adding new ports. You may wish to delete older ports yourself.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8331
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8332 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8333
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8334 module ExampInject (i, o);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8335 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8336 input j;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8337 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8338 always @ (i or j)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8339 o = i | j;
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8340 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8341 (.foobar(baz),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8342 j(j));
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8343 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8344
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8345 Typing \\[verilog-inject-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8346
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8347 module ExampInject (i, o/*AUTOARG*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8348 // Inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8349 j);
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8350 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8351 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8352 always @ (/*AS*/i or j)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8353 o = i | j;
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8354 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8355 (.foobar(baz),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8356 /*AUTOINST*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8357 // Outputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8358 j(j));
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8359 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8360 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8361 (verilog-auto t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8362
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8363 (defun verilog-inject-arg ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8364 "Inject AUTOARG into new code. See `verilog-inject-auto'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8365 ;; Presume one module per file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8366 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8367 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8368 (while (verilog-re-search-forward-quick "\\<module\\>" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8369 (let ((endmodp (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8370 (verilog-re-search-forward-quick "\\<endmodule\\>" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8371 (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8372 ;; See if there's already a comment .. inside a comment so not verilog-re-search
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8373 (when (not (re-search-forward "/\\*AUTOARG\\*/" endmodp t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8374 (verilog-re-search-forward-quick ";" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8375 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8376 (verilog-backward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8377 (backward-char 1) ; Moves to paren that closes argdecl's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8378 (when (looking-at ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8379 (insert "/*AUTOARG*/")))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8380
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8381 (defun verilog-inject-sense ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8382 "Inject AUTOSENSE into new code. See `verilog-inject-auto'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8383 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8384 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8385 (while (verilog-re-search-forward-quick "\\<always\\s *@\\s *(" nil t)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8386 (let* ((start-pt (point))
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
8387 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8388 (moddecls (verilog-modi-get-decls modi))
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
8389 pre-sigs
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
8390 got-sigs)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8391 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8392 (forward-sexp 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8393 (backward-char 1) ;; End )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8394 (when (not (verilog-re-search-backward "/\\*\\(AUTOSENSE\\|AS\\)\\*/" start-pt t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8395 (setq pre-sigs (verilog-signals-from-signame
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8396 (verilog-read-signals start-pt (point)))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8397 got-sigs (verilog-auto-sense-sigs moddecls nil))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8398 (when (not (or (verilog-signals-not-in pre-sigs got-sigs) ; Both are equal?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8399 (verilog-signals-not-in got-sigs pre-sigs)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8400 (delete-region start-pt (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8401 (insert "/*AS*/")))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8402
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8403 (defun verilog-inject-inst ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8404 "Inject AUTOINST into new code. See `verilog-inject-auto'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8405 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8406 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8407 ;; It's hard to distinguish modules; we'll instead search for pins.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8408 (while (verilog-re-search-forward-quick "\\.\\s *[a-zA-Z0-9`_\$]+\\s *(\\s *[a-zA-Z0-9`_\$]+\\s *)" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8409 (verilog-backward-open-paren) ;; Inst start
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8410 (cond
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8411 ((= (preceding-char) ?\#) ;; #(...) parameter section, not pin. Skip.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8412 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8413 (verilog-forward-close-paren)) ;; Parameters done
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8414 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8415 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8416 (let ((indent-pt (+ (current-column)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8417 (end-pt (save-excursion (verilog-forward-close-paren) (point))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8418 (cond ((verilog-re-search-forward "\\(/\\*AUTOINST\\*/\\|\\.\\*\\)" end-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8419 (goto-char end-pt)) ;; Already there, continue search with next instance
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8420 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8421 ;; Delete identical interconnect
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8422 (let ((case-fold-search nil)) ;; So we don't convert upper-to-lower, etc
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8423 (while (verilog-re-search-forward "\\.\\s *\\([a-zA-Z0-9`_\$]+\\)*\\s *(\\s *\\1\\s *)\\s *" end-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8424 (delete-region (match-beginning 0) (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8425 (setq end-pt (- end-pt (- (match-end 0) (match-beginning 0)))) ;; Keep it correct
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8426 (while (or (looking-at "[ \t\n\f,]+")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8427 (looking-at "//[^\n]*"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8428 (delete-region (match-beginning 0) (match-end 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8429 (setq end-pt (- end-pt (- (match-end 0) (match-beginning 0)))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8430 (verilog-forward-close-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8431 (backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8432 ;; Not verilog-re-search, as we don't want to strip comments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8433 (while (re-search-backward "[ \t\n\f]+" (- (point) 1) t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8434 (delete-region (match-beginning 0) (match-end 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8435 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8436 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8437 (insert "/*AUTOINST*/")))))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8438
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8439 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8440 ;; Auto save
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8441 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8442
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8443 (defun verilog-auto-save-check ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8444 "On saving see if we need auto update."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8445 (cond ((not verilog-auto-save-policy)) ; disabled
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8446 ((not (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8447 (save-match-data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8448 (let ((case-fold-search nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8449 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8450 (re-search-forward "AUTO" nil t))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8451 ((eq verilog-auto-save-policy 'force)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8452 (verilog-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8453 ((not (buffer-modified-p)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8454 ((eq verilog-auto-update-tick (buffer-modified-tick))) ; up-to-date
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8455 ((eq verilog-auto-save-policy 'detect)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8456 (verilog-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8457 (t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8458 (when (yes-or-no-p "AUTO statements not recomputed, do it now? ")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8459 (verilog-auto))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8460 ;; Don't ask again if didn't update
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8461 (set (make-local-variable 'verilog-auto-update-tick) (buffer-modified-tick))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8462 (when (not verilog-auto-star-save)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8463 (verilog-delete-auto-star-implicit))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8464 nil) ;; Always return nil -- we don't write the file ourselves
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8465
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8466 (defun verilog-auto-read-locals ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8467 "Return file local variable segment at bottom of file."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8468 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8469 (goto-char (point-max))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8470 (if (re-search-backward "Local Variables:" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8471 (buffer-substring-no-properties (point) (point-max))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8472 "")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8473
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8474 (defun verilog-auto-reeval-locals (&optional force)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8475 "Read file local variable segment at bottom of file if it has changed.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8476 If FORCE, always reread it."
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
8477 (make-local-variable 'verilog-auto-last-file-locals)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8478 (let ((curlocal (verilog-auto-read-locals)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8479 (when (or force (not (equal verilog-auto-last-file-locals curlocal)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8480 (setq verilog-auto-last-file-locals curlocal)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8481 ;; Note this may cause this function to be recursively invoked,
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8482 ;; because hack-local-variables may call (verilog-mode)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8483 ;; The above when statement will prevent it from recursing forever.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8484 (hack-local-variables)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8485 t)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8486
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8487 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8488 ;; Auto creation
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8489 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8490
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8491 (defun verilog-auto-arg-ports (sigs message indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8492 "Print a list of ports for a AUTOINST.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8493 Takes SIGS list, adds MESSAGE to front and inserts each at INDENT-PT."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8494 (when sigs
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8495 (when verilog-auto-arg-sort
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8496 (setq sigs (sort (copy-alist sigs) `verilog-signals-sort-compare)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8497 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8498 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8499 (insert message)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8500 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8501 (let ((space ""))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8502 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8503 (while sigs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8504 (cond ((> (+ 2 (current-column) (length (verilog-sig-name (car sigs)))) fill-column)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8505 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8506 (indent-to indent-pt))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8507 (t (insert space)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8508 (insert (verilog-sig-name (car sigs)) ",")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8509 (setq sigs (cdr sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8510 space " ")))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8511
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8512 (defun verilog-auto-arg ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8513 "Expand AUTOARG statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8514 Replace the argument declarations at the beginning of the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8515 module with ones automatically derived from input and output
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8516 statements. This can be dangerous if the module is instantiated
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8517 using position-based connections, so use only name-based when
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8518 instantiating the resulting module. Long lines are split based
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8519 on the `fill-column', see \\[set-fill-column].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8520
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8521 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8522 Concatenation and outputting partial busses is not supported.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8523
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8524 Typedefs must match `verilog-typedef-regexp', which is disabled by default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8525
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8526 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8527
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8528 module ExampArg (/*AUTOARG*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8529 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8530 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8531 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8532
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8533 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8534
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8535 module ExampArg (/*AUTOARG*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8536 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8537 o,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8538 // Inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8539 i
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8540 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8541 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8542 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8543 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8544
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8545 The argument declarations may be printed in declaration order to best suit
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8546 order based instantiations, or alphabetically, based on the
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8547 `verilog-auto-arg-sort' variable.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8548
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8549 Any ports declared between the ( and /*AUTOARG*/ are presumed to be
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8550 predeclared and are not redeclared by AUTOARG. AUTOARG will make a
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8551 conservative guess on adding a comma for the first signal, if you have
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8552 any ifdefs or complicated expressions before the AUTOARG you will need
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8553 to choose the comma yourself.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8554
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8555 Avoid declaring ports manually, as it makes code harder to maintain."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8556 (save-excursion
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8557 (let* ((modi (verilog-modi-current))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8558 (moddecls (verilog-modi-get-decls modi))
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
8559 (skip-pins (aref (verilog-read-arg-pins) 0)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8560 (verilog-repair-open-comma)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8561 (verilog-auto-arg-ports (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8562 (verilog-decls-get-outputs moddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8563 skip-pins)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8564 "// Outputs"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8565 verilog-indent-level-declaration)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8566 (verilog-auto-arg-ports (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8567 (verilog-decls-get-inouts moddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8568 skip-pins)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8569 "// Inouts"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8570 verilog-indent-level-declaration)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8571 (verilog-auto-arg-ports (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8572 (verilog-decls-get-inputs moddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8573 skip-pins)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8574 "// Inputs"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8575 verilog-indent-level-declaration)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8576 (verilog-repair-close-comma)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8577 (unless (eq (char-before) ?/ )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8578 (insert "\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8579 (indent-to verilog-indent-level-declaration))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8580
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8581 (defun verilog-auto-inst-port-map (port-st)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8582 nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8583
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8584 (defvar vl-cell-type nil "See `verilog-auto-inst'.") ; Prevent compile warning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8585 (defvar vl-cell-name nil "See `verilog-auto-inst'.") ; Prevent compile warning
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8586 (defvar vl-modport nil "See `verilog-auto-inst'.") ; Prevent compile warning
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8587 (defvar vl-name nil "See `verilog-auto-inst'.") ; Prevent compile warning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8588 (defvar vl-width nil "See `verilog-auto-inst'.") ; Prevent compile warning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8589 (defvar vl-dir nil "See `verilog-auto-inst'.") ; Prevent compile warning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8590
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8591 (defun verilog-auto-inst-port (port-st indent-pt tpl-list tpl-num for-star par-values)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8592 "Print out a instantiation connection for this PORT-ST.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8593 Insert to INDENT-PT, use template TPL-LIST.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8594 @ are instantiation numbers, replaced with TPL-NUM.
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8595 @\"(expression @)\" are evaluated, with @ as a variable.
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8596 If FOR-STAR add comment it is a .* expansion.
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8597 If PAR-VALUES replace final strings with these parameter values."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8598 (let* ((port (verilog-sig-name port-st))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8599 (tpl-ass (or (assoc port (car tpl-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8600 (verilog-auto-inst-port-map port-st)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8601 ;; vl-* are documented for user use
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8602 (vl-name (verilog-sig-name port-st))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8603 (vl-width (verilog-sig-width port-st))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8604 (vl-modport (verilog-sig-modport port-st))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8605 (vl-bits (if (or verilog-auto-inst-vector
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8606 (not (assoc port vector-skip-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8607 (not (equal (verilog-sig-bits port-st)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8608 (verilog-sig-bits (assoc port vector-skip-list)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8609 (or (verilog-sig-bits port-st) "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8610 ""))
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8611 (case-fold-search nil)
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
8612 (check-values par-values)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
8613 tpl-net)
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8614 ;; Replace parameters in bit-width
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8615 (when (and check-values
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8616 (not (equal vl-bits "")))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8617 (while check-values
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8618 (setq vl-bits (verilog-string-replace-matches
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8619 (concat "\\<" (nth 0 (car check-values)) "\\>")
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8620 (concat "(" (nth 1 (car check-values)) ")")
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8621 t t vl-bits)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8622 check-values (cdr check-values)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8623 (setq vl-bits (verilog-simplify-range-expression vl-bits))) ; Not in the loop for speed
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
8624 ;; Default net value if not found
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8625 (setq tpl-net (concat port
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8626 (if vl-modport (concat "." vl-modport) "")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8627 (if (verilog-sig-multidim port-st)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8628 (concat "/*" (verilog-sig-multidim-string port-st)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8629 vl-bits "*/")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8630 (concat vl-bits))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8631 ;; Find template
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8632 (cond (tpl-ass ; Template of exact port name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8633 (setq tpl-net (nth 1 tpl-ass)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8634 ((nth 1 tpl-list) ; Wildcards in template, search them
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8635 (let ((wildcards (nth 1 tpl-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8636 (while wildcards
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8637 (when (string-match (nth 0 (car wildcards)) port)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8638 (setq tpl-ass (car wildcards) ; so allow @ parsing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8639 tpl-net (replace-match (nth 1 (car wildcards))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8640 t nil port)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8641 (setq wildcards (cdr wildcards))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8642 ;; Parse Templated variable
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8643 (when tpl-ass
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8644 ;; Evaluate @"(lispcode)"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8645 (when (string-match "@\".*[^\\]\"" tpl-net)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8646 (while (string-match "@\"\\(\\([^\\\"]*\\(\\\\.\\)*\\)*\\)\"" tpl-net)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8647 (setq tpl-net
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8648 (concat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8649 (substring tpl-net 0 (match-beginning 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8650 (save-match-data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8651 (let* ((expr (match-string 1 tpl-net))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8652 (value
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8653 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8654 (setq expr (verilog-string-replace-matches "\\\\\"" "\"" nil nil expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8655 (setq expr (verilog-string-replace-matches "@" tpl-num nil nil expr))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8656 (prin1 (eval (car (read-from-string expr)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8657 (lambda (ch) ())))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8658 (if (numberp value) (setq value (number-to-string value)))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8659 value))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8660 (substring tpl-net (match-end 0))))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8661 ;; Replace @ and [] magic variables in final output
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8662 (setq tpl-net (verilog-string-replace-matches "@" tpl-num nil nil tpl-net))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
8663 (setq tpl-net (verilog-string-replace-matches "\\[\\]" vl-bits nil nil tpl-net)))
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8664 ;; Insert it
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8665 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8666 (insert "." port)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8667 (indent-to verilog-auto-inst-column)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8668 (insert "(" tpl-net "),")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8669 (cond (tpl-ass
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8670 (indent-to (+ (if (< verilog-auto-inst-column 48) 24 16)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8671 verilog-auto-inst-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8672 (insert " // Templated")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8673 (when verilog-auto-inst-template-numbers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8674 (insert " T" (int-to-string (nth 2 tpl-ass))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8675 " L" (int-to-string (nth 3 tpl-ass)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8676 (for-star
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8677 (indent-to (+ (if (< verilog-auto-inst-column 48) 24 16)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8678 verilog-auto-inst-column))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8679 (insert " // Implicit .\*"))) ;For some reason the . or * must be escaped...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8680 (insert "\n")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8681 ;;(verilog-auto-inst-port (list "foo" "[5:0]") 10 (list (list "foo" "a@\"(% (+ @ 1) 4)\"a")) "3")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8682 ;;(x "incom[@\"(+ (* 8 @) 7)\":@\"(* 8 @)\"]")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8683 ;;(x ".out (outgo[@\"(concat (+ (* 8 @) 7) \\\":\\\" ( * 8 @))\"]));")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8684
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8685 (defun verilog-auto-inst-first ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8686 "Insert , etc before first ever port in this instant, as part of \\[verilog-auto-inst]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8687 ;; Do we need a trailing comma?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8688 ;; There maybe a ifdef or something similar before us. What a mess. Thus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8689 ;; to avoid trouble we only insert on preceeding ) or *.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8690 ;; Insert first port on new line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8691 (insert "\n") ;; Must insert before search, so point will move forward if insert comma
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8692 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8693 (verilog-re-search-backward "[^ \t\n\f]" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8694 (when (looking-at ")\\|\\*") ;; Generally don't insert, unless we are fairly sure
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8695 (forward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8696 (insert ","))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8697
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8698 (defun verilog-auto-star ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8699 "Expand SystemVerilog .* pins, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8700
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8701 If `verilog-auto-star-expand' is set, .* pins are treated if they were
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8702 AUTOINST statements, otherwise they are ignored. For safety, Verilog mode
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8703 will also ignore any .* that are not last in your pin list (this prevents
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8704 it from deleting pins following the .* when it expands the AUTOINST.)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8705
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8706 On writing your file, unless `verilog-auto-star-save' is set, any
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8707 non-templated expanded pins will be removed. You may do this at any time
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8708 with \\[verilog-delete-auto-star-implicit].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8709
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8710 If you are converting a module to use .* for the first time, you may wish
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8711 to use \\[verilog-inject-auto] and then replace the created AUTOINST with .*.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8712
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8713 See `verilog-auto-inst' for examples, templates, and more information."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8714 (when (verilog-auto-star-safe)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8715 (verilog-auto-inst)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8716
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8717 (defun verilog-auto-inst ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8718 "Expand AUTOINST statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8719 Replace the pin connections to an instantiation with ones
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8720 automatically derived from the module header of the instantiated netlist.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8721
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8722 If `verilog-auto-star-expand' is set, also expand SystemVerilog .* ports,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8723 and delete them before saving unless `verilog-auto-star-save' is set.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8724 See `verilog-auto-star' for more information.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8725
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8726 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8727 Module names must be resolvable to filenames by adding a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8728 `verilog-library-extensions', and being found in the same directory, or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8729 by changing the variable `verilog-library-flags' or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8730 `verilog-library-directories'. Macros `modname are translated through the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8731 vh-{name} Emacs variable, if that is not found, it just ignores the `.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8732
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8733 In templates you must have one signal per line, ending in a ), or ));,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8734 and have proper () nesting, including a final ); to end the template.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8735
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8736 Typedefs must match `verilog-typedef-regexp', which is disabled by default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8737
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8738 SystemVerilog multidimensional input/output has only experimental support.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8739
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8740 Parameters referenced by the instantiation will remain symbolic, unless
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8741 `verilog-auto-inst-param-value' is set.
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8742
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8743 For example, first take the submodule InstModule.v:
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8744
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8745 module InstModule (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8746 output [31:0] o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8747 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8748 wire [31:0] o = {32{i}};
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8749 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8750
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8751 This is then used in a upper level module:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8752
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8753 module ExampInst (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8754 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8755 input i;
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8756 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8757 (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8758 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8759
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8760 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8761
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8762 module ExampInst (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8763 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8764 input i;
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8765 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8766 (/*AUTOINST*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8767 // Outputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8768 .ov (ov[31:0]),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8769 // Inputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8770 .i (i));
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8771 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8772
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8773 Where the list of inputs and outputs came from the inst module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8774
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8775 Exceptions:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8776
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8777 Unless you are instantiating a module multiple times, or the module is
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8778 something trivial like an adder, DO NOT CHANGE SIGNAL NAMES ACROSS HIERARCHY.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8779 It just makes for unmaintainable code. To sanitize signal names, try
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
8780 vrename from http://www.veripool.org.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8781
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8782 When you need to violate this suggestion there are two ways to list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8783 exceptions, placing them before the AUTOINST, or using templates.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8784
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8785 Any ports defined before the /*AUTOINST*/ are not included in the list of
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8786 automatics. This is similar to making a template as described below, but
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8787 is restricted to simple connections just like you normally make. Also note
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8788 that any signals before the AUTOINST will only be picked up by AUTOWIRE if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8789 you have the appropriate // Input or // Output comment, and exactly the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8790 same line formatting as AUTOINST itself uses.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8791
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8792 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8793 (// Inputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8794 .i (my_i_dont_mess_with_it),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8795 /*AUTOINST*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8796 // Outputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8797 .ov (ov[31:0]));
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8798
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8799
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8800 Templates:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8801
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8802 For multiple instantiations based upon a single template, create a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8803 commented out template:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8804
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8805 /* InstModule AUTO_TEMPLATE (
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8806 .sig3 (sigz[]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8807 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8808 */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8809
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8810 Templates go ABOVE the instantiation(s). When an instantiation is
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8811 expanded `verilog-mode' simply searches up for the closest template.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8812 Thus you can have multiple templates for the same module, just alternate
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8813 between the template for an instantiation and the instantiation itself.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8814
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8815 The module name must be the same as the name of the module in the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8816 instantiation name, and the code \"AUTO_TEMPLATE\" must be in these exact
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8817 words and capitalized. Only signals that must be different for each
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8818 instantiation need to be listed.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8819
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8820 Inside a template, a [] in a connection name (with nothing else inside
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8821 the brackets) will be replaced by the same bus subscript as it is being
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8822 connected to, or the [] will be removed if it is a single bit signal.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8823 Generally it is a good idea to do this for all connections in a template,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8824 as then they will work for any width signal, and with AUTOWIRE. See
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8825 PTL_BUS becoming PTL_BUSNEW below.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8826
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8827 If you have a complicated template, set `verilog-auto-inst-template-numbers'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8828 to see which regexps are matching. Don't leave that mode set after
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8829 debugging is completed though, it will result in lots of extra differences
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8830 and merge conflicts.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8831
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8832 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8833
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8834 /* InstModule AUTO_TEMPLATE (
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8835 .ptl_bus (ptl_busnew[]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8836 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8837 */
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8838 InstModule ms2m (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8839
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8840 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8841
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8842 InstModule ms2m (/*AUTOINST*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8843 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8844 .NotInTemplate (NotInTemplate),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8845 .ptl_bus (ptl_busnew[3:0]), // Templated
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8846 ....
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8847
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8848 @ Templates:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8849
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8850 It is common to instantiate a cell multiple times, so templates make it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8851 trivial to substitute part of the cell name into the connection name.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8852
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8853 /* InstName AUTO_TEMPLATE <optional \"REGEXP\"> (
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8854 .sig1 (sigx[@]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8855 .sig2 (sigy[@\"(% (+ 1 @) 4)\"]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8856 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8857 */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8858
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8859 If no regular expression is provided immediately after the AUTO_TEMPLATE
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8860 keyword, then the @ character in any connection names will be replaced
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8861 with the instantiation number; the first digits found in the cell's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8862 instantiation name.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8863
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8864 If a regular expression is provided, the @ character will be replaced
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8865 with the first \(\) grouping that matches against the cell name. Using a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8866 regexp of \"\\([0-9]+\\)\" provides identical values for @ as when no
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8867 regexp is provided. If you use multiple layers of parenthesis,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8868 \"test\\([^0-9]+\\)_\\([0-9]+\\)\" would replace @ with non-number
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8869 characters after test and before _, whereas
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8870 \"\\(test\\([a-z]+\\)_\\([0-9]+\\)\\)\" would replace @ with the entire
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8871 match.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8872
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8873 For example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8874
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8875 /* InstModule AUTO_TEMPLATE (
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8876 .ptl_mapvalidx (ptl_mapvalid[@]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8877 .ptl_mapvalidp1x (ptl_mapvalid[@\"(% (+ 1 @) 4)\"]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8878 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8879 */
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8880 InstModule ms2m (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8881
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8882 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8883
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8884 InstModule ms2m (/*AUTOINST*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8885 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8886 .ptl_mapvalidx (ptl_mapvalid[2]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8887 .ptl_mapvalidp1x (ptl_mapvalid[3]));
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8888
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8889 Note the @ character was replaced with the 2 from \"ms2m\".
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8890
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8891 Alternatively, using a regular expression for @:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8892
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8893 /* InstModule AUTO_TEMPLATE \"_\\([a-z]+\\)\" (
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8894 .ptl_mapvalidx (@_ptl_mapvalid),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8895 .ptl_mapvalidp1x (ptl_mapvalid_@),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8896 );
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8897 */
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8898 InstModule ms2_FOO (/*AUTOINST*/);
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8899 InstModule ms2_BAR (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8900
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8901 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8902
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8903 InstModule ms2_FOO (/*AUTOINST*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8904 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8905 .ptl_mapvalidx (FOO_ptl_mapvalid),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8906 .ptl_mapvalidp1x (ptl_mapvalid_FOO));
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8907 InstModule ms2_BAR (/*AUTOINST*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8908 // Outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8909 .ptl_mapvalidx (BAR_ptl_mapvalid),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8910 .ptl_mapvalidp1x (ptl_mapvalid_BAR));
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8911
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8912
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8913 Regexp Templates:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8914
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8915 A template entry of the form
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8916
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8917 .pci_req\\([0-9]+\\)_l (pci_req_jtag_[\\1]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8918
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8919 will apply an Emacs style regular expression search for any port beginning
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8920 in pci_req followed by numbers and ending in _l and connecting that to
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8921 the pci_req_jtag_[] net, with the bus subscript coming from what matches
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8922 inside the first set of \\( \\). Thus pci_req2_l becomes pci_req_jtag_[2].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8923
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8924 Since \\([0-9]+\\) is so common and ugly to read, a @ in the port name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8925 does the same thing. (Note a @ in the connection/replacement text is
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8926 completely different -- still use \\1 there!) Thus this is the same as
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8927 the above template:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8928
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8929 .pci_req@_l (pci_req_jtag_[\\1]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8930
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8931 Here's another example to remove the _l, useful when naming conventions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8932 specify _ alone to mean active low. Note the use of [] to keep the bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8933 subscript:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8934
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8935 .\\(.*\\)_l (\\1_[]),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8936
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8937 Lisp Templates:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8938
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8939 First any regular expression template is expanded.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8940
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8941 If the syntax @\"( ... )\" is found in a connection, the expression in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8942 quotes will be evaluated as a Lisp expression, with @ replaced by the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8943 instantiation number. The MAPVALIDP1X example above would put @+1 modulo
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8944 4 into the brackets. Quote all double-quotes inside the expression with
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8945 a leading backslash (\\\"). There are special variables defined that are
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8946 useful in these Lisp functions:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8947
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8948 vl-name Name portion of the input/output port.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8949 vl-bits Bus bits portion of the input/output port ('[2:0]').
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8950 vl-width Width of the input/output port ('3' for [2:0]).
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8951 May be a (...) expression if bits isn't a constant.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8952 vl-dir Direction of the pin input/output/inout/interface.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8953 vl-modport The modport, if an interface with a modport.
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8954 vl-cell-type Module name/type of the cell ('InstModule').
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
8955 vl-cell-name Instance name of the cell ('instName').
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8956
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8957 Normal Lisp variables may be used in expressions. See
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8958 `verilog-read-defines' which can set vh-{definename} variables for use
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8959 here. Also, any comments of the form:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8960
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8961 /*AUTO_LISP(setq foo 1)*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8962
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8963 will evaluate any Lisp expression inside the parenthesis between the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8964 beginning of the buffer and the point of the AUTOINST. This allows
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8965 functions to be defined or variables to be changed between instantiations.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8966 (See also `verilog-auto-insert-lisp' if you want the output from your
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
8967 lisp function to be inserted.)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8968
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8969 Note that when using lisp expressions errors may occur when @ is not a
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
8970 number; you may need to use the standard Emacs Lisp functions
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8971 `number-to-string' and `string-to-number'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8972
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8973 After the evaluation is completed, @ substitution and [] substitution
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8974 occur."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8975 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8976 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8977 (let* ((pt (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8978 (for-star (save-excursion (backward-char 2) (looking-at "\\.\\*")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8979 (indent-pt (save-excursion (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8980 (1+ (current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8981 (verilog-auto-inst-column (max verilog-auto-inst-column
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8982 (+ 16 (* 8 (/ (+ indent-pt 7) 8)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8983 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8984 (moddecls (verilog-modi-get-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8985 (vector-skip-list (unless verilog-auto-inst-vector
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8986 (verilog-decls-get-signals moddecls)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
8987 submod submodi submoddecls
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8988 inst skip-pins tpl-list tpl-num did-first par-values)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
8989
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8990 ;; Find module name that is instantiated
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8991 (setq submod (verilog-read-inst-module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8992 inst (verilog-read-inst-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8993 vl-cell-type submod
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8994 vl-cell-name inst
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8995 skip-pins (aref (verilog-read-inst-pins) 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8996
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8997 ;; Parse any AUTO_LISP() before here
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8998 (verilog-read-auto-lisp (point-min) pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
8999
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9000 ;; Read parameters (after AUTO_LISP)
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9001 (setq par-values (and verilog-auto-inst-param-value
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9002 (verilog-read-inst-param-value)))
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9003
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9004 ;; Lookup position, etc of submodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9005 ;; Note this may raise an error
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9006 (when (setq submodi (verilog-modi-lookup submod t))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9007 (setq submoddecls (verilog-modi-get-decls submodi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9008 ;; If there's a number in the instantiation, it may be a argument to the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9009 ;; automatic variable instantiation program.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9010 (let* ((tpl-info (verilog-read-auto-template submod))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9011 (tpl-regexp (aref tpl-info 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9012 (setq tpl-num (if (string-match tpl-regexp inst)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9013 (match-string 1 inst)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9014 "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9015 tpl-list (aref tpl-info 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9016 ;; Find submodule's signals and dump
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9017 (let ((sig-list (verilog-signals-not-in
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9018 (verilog-decls-get-interfaces submoddecls)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9019 skip-pins))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9020 (vl-dir "interface"))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9021 (when sig-list
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9022 (when (not did-first) (verilog-auto-inst-first) (setq did-first t))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9023 (indent-to indent-pt)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9024 ;; Note these are searched for in verilog-read-sub-decls.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9025 (insert "// Interfaces\n")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9026 (mapc (lambda (port)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9027 (verilog-auto-inst-port port indent-pt
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9028 tpl-list tpl-num for-star par-values))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9029 sig-list)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9030 (let ((sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9031 (verilog-decls-get-outputs submoddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9032 skip-pins))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9033 (vl-dir "output"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9034 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9035 (when (not did-first) (verilog-auto-inst-first) (setq did-first t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9036 (indent-to indent-pt)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9037 (insert "// Outputs\n")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9038 (mapc (lambda (port)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9039 (verilog-auto-inst-port port indent-pt
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9040 tpl-list tpl-num for-star par-values))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9041 sig-list)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9042 (let ((sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9043 (verilog-decls-get-inouts submoddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9044 skip-pins))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9045 (vl-dir "inout"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9046 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9047 (when (not did-first) (verilog-auto-inst-first) (setq did-first t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9048 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9049 (insert "// Inouts\n")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9050 (mapc (lambda (port)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9051 (verilog-auto-inst-port port indent-pt
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9052 tpl-list tpl-num for-star par-values))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9053 sig-list)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9054 (let ((sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9055 (verilog-decls-get-inputs submoddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9056 skip-pins))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9057 (vl-dir "input"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9058 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9059 (when (not did-first) (verilog-auto-inst-first) (setq did-first t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9060 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9061 (insert "// Inputs\n")
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9062 (mapc (lambda (port)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9063 (verilog-auto-inst-port port indent-pt
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9064 tpl-list tpl-num for-star par-values))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9065 sig-list)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9066 ;; Kill extra semi
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9067 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9068 (cond (did-first
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9069 (re-search-backward "," pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9070 (delete-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9071 (insert ");")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9072 (search-forward "\n") ;; Added by inst-port
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9073 (delete-backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9074 (if (search-forward ")" nil t) ;; From user, moved up a line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9075 (delete-backward-char 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9076 (if (search-forward ";" nil t) ;; Don't error if user had syntax error and forgot it
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9077 (delete-backward-char 1)))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9078
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9079 (defun verilog-auto-inst-param ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9080 "Expand AUTOINSTPARAM statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9081 Replace the parameter connections to an instantiation with ones
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9082 automatically derived from the module header of the instantiated netlist.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9083
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9084 See \\[verilog-auto-inst] for limitations, and templates to customize the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9085 output.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9086
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9087 For example, first take the submodule InstModule.v:
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9088
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9089 module InstModule (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9090 parameter PAR;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9091 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9092
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9093 This is then used in a upper level module:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9094
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9095 module ExampInst (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9096 parameter PAR;
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9097 InstModule #(/*AUTOINSTPARAM*/)
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9098 instName (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9099 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9100
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9101 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9102
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9103 module ExampInst (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9104 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9105 input i;
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9106 InstModule #(/*AUTOINSTPARAM*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9107 // Parameters
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9108 .PAR (PAR));
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9109 instName (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9110 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9111
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9112 Where the list of parameter connections come from the inst module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9113
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9114 Templates:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9115
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9116 You can customize the parameter connections using AUTO_TEMPLATEs,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9117 just as you would with \\[verilog-auto-inst]."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9118 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9119 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9120 (let* ((pt (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9121 (indent-pt (save-excursion (verilog-backward-open-paren)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9122 (1+ (current-column))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9123 (verilog-auto-inst-column (max verilog-auto-inst-column
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9124 (+ 16 (* 8 (/ (+ indent-pt 7) 8)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9125 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9126 (moddecls (verilog-modi-get-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9127 (vector-skip-list (unless verilog-auto-inst-vector
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9128 (verilog-decls-get-signals moddecls)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9129 submod submodi submoddecls
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9130 inst skip-pins tpl-list tpl-num did-first)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9131 ;; Find module name that is instantiated
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9132 (setq submod (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9133 ;; Get to the point where AUTOINST normally is to read the module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9134 (verilog-re-search-forward-quick "[(;]" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9135 (verilog-read-inst-module))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9136 inst (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9137 ;; Get to the point where AUTOINST normally is to read the module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9138 (verilog-re-search-forward-quick "[(;]" nil nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9139 (verilog-read-inst-name))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9140 vl-cell-type submod
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9141 vl-cell-name inst
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9142 skip-pins (aref (verilog-read-inst-pins) 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9143
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9144 ;; Parse any AUTO_LISP() before here
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9145 (verilog-read-auto-lisp (point-min) pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9146
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9147 ;; Lookup position, etc of submodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9148 ;; Note this may raise an error
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9149 (when (setq submodi (verilog-modi-lookup submod t))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9150 (setq submoddecls (verilog-modi-get-decls submodi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9151 ;; If there's a number in the instantiation, it may be a argument to the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9152 ;; automatic variable instantiation program.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9153 (let* ((tpl-info (verilog-read-auto-template submod))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9154 (tpl-regexp (aref tpl-info 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9155 (setq tpl-num (if (string-match tpl-regexp inst)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9156 (match-string 1 inst)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9157 "")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9158 tpl-list (aref tpl-info 1)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9159 ;; Find submodule's signals and dump
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9160 (let ((sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9161 (verilog-decls-get-gparams submoddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9162 skip-pins))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9163 (vl-dir "parameter"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9164 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9165 (when (not did-first) (verilog-auto-inst-first) (setq did-first t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9166 (indent-to indent-pt)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9167 ;; Note these are searched for in verilog-read-sub-decls.
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9168 (insert "// Parameters\n")
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9169 (mapc (lambda (port)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9170 (verilog-auto-inst-port port indent-pt
97107
138e8a4ee5a6 * verilog-mode.el (verilog-do-indent): Remove special indent for
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94760
diff changeset
9171 tpl-list tpl-num nil nil))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
9172 sig-list)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9173 ;; Kill extra semi
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9174 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9175 (cond (did-first
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9176 (re-search-backward "," pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9177 (delete-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9178 (insert ")")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9179 (search-forward "\n") ;; Added by inst-port
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9180 (delete-backward-char 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9181 (if (search-forward ")" nil t) ;; From user, moved up a line
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9182 (delete-backward-char 1)))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9183
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9184 (defun verilog-auto-reg ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9185 "Expand AUTOREG statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9186 Make reg statements for any output that isn't already declared,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9187 and isn't a wire output from a block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9188
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9189 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9190 This ONLY detects outputs of AUTOINSTants (see `verilog-read-sub-decls').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9191
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9192 This does NOT work on memories, declare those yourself.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9193
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9194 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9195
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9196 module ExampReg (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9197 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9198 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9199 /*AUTOREG*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9200 always o = i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9201 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9202
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9203 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9204
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9205 module ExampReg (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9206 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9207 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9208 /*AUTOREG*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9209 // Beginning of automatic regs (for this module's undeclared outputs)
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9210 reg o;
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9211 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9212 always o = i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9213 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9214 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9215 ;; Point must be at insertion point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9216 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9217 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9218 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9219 (modsubdecls (verilog-modi-get-sub-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9220 (sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9221 (verilog-decls-get-outputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9222 (append (verilog-decls-get-wires moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9223 (verilog-decls-get-regs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9224 (verilog-decls-get-assigns moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9225 (verilog-decls-get-consts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9226 (verilog-decls-get-gparams moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9227 (verilog-subdecls-get-outputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9228 (verilog-subdecls-get-inouts modsubdecls)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9229 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9230 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9231 (verilog-insert-indent "// Beginning of automatic regs (for this module's undeclared outputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9232 (verilog-insert-definition sig-list "reg" indent-pt nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9233 (verilog-modi-cache-add-regs modi sig-list)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9234 (verilog-insert-indent "// End of automatics\n")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9235
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9236 (defun verilog-auto-reg-input ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9237 "Expand AUTOREGINPUT statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9238 Make reg statements instantiation inputs that aren't already declared.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9239 This is useful for making a top level shell for testing the module that is
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9240 to be instantiated.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9241
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9242 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9243 This ONLY detects inputs of AUTOINSTants (see `verilog-read-sub-decls').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9244
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9245 This does NOT work on memories, declare those yourself.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9246
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9247 An example (see `verilog-auto-inst' for what else is going on here):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9248
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9249 module ExampRegInput (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9250 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9251 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9252 /*AUTOREGINPUT*/
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9253 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9254 (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9255 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9256
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9257 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9258
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9259 module ExampRegInput (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9260 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9261 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9262 /*AUTOREGINPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9263 // Beginning of automatic reg inputs (for undeclared ...
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9264 reg [31:0] iv; // From inst of inst.v
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9265 // End of automatics
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9266 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9267 (/*AUTOINST*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9268 // Outputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9269 .o (o[31:0]),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9270 // Inputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9271 .iv (iv));
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9272 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9273 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9274 ;; Point must be at insertion point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9275 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9276 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9277 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9278 (modsubdecls (verilog-modi-get-sub-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9279 (sig-list (verilog-signals-combine-bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9280 (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9281 (append (verilog-subdecls-get-inputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9282 (verilog-subdecls-get-inouts modsubdecls))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9283 (verilog-decls-get-signals moddecls)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9284 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9285 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9286 (verilog-insert-indent "// Beginning of automatic reg inputs (for undeclared instantiated-module inputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9287 (verilog-insert-definition sig-list "reg" indent-pt nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9288 (verilog-modi-cache-add-regs modi sig-list)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9289 (verilog-insert-indent "// End of automatics\n")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9290
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9291 (defun verilog-auto-wire ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9292 "Expand AUTOWIRE statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9293 Make wire statements for instantiations outputs that aren't
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9294 already declared.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9295
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9296 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9297 This ONLY detects outputs of AUTOINSTants (see `verilog-read-sub-decls'),
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9298 and all busses must have widths, such as those from AUTOINST, or using []
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9299 in AUTO_TEMPLATEs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9300
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9301 This does NOT work on memories or SystemVerilog .name connections,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9302 declare those yourself.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9303
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9304 Verilog mode will add \"Couldn't Merge\" comments to signals it cannot
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9305 determine how to bus together. This occurs when you have ports with
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9306 non-numeric or non-sequential bus subscripts. If Verilog mode
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9307 mis-guessed, you'll have to declare them yourself.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9308
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9309 An example (see `verilog-auto-inst' for what else is going on here):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9310
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9311 module ExampWire (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9312 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9313 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9314 /*AUTOWIRE*/
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9315 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9316 (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9317 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9318
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9319 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9320
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9321 module ExampWire (o,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9322 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9323 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9324 /*AUTOWIRE*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9325 // Beginning of automatic wires
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9326 wire [31:0] ov; // From inst of inst.v
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9327 // End of automatics
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9328 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9329 (/*AUTOINST*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9330 // Outputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9331 .ov (ov[31:0]),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9332 // Inputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9333 .i (i));
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9334 wire o = | ov;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9335 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9336 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9337 ;; Point must be at insertion point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9338 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9339 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9340 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9341 (modsubdecls (verilog-modi-get-sub-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9342 (sig-list (verilog-signals-combine-bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9343 (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9344 (append (verilog-subdecls-get-outputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9345 (verilog-subdecls-get-inouts modsubdecls))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9346 (verilog-decls-get-signals moddecls)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9347 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9348 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9349 (verilog-insert-indent "// Beginning of automatic wires (for undeclared instantiated-module outputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9350 (verilog-insert-definition sig-list "wire" indent-pt nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9351 (verilog-modi-cache-add-wires modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9352 (verilog-insert-indent "// End of automatics\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9353 (when nil ;; Too slow on huge modules, plus makes everyone's module change
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9354 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9355 (setq pnt (point))
80024
9231505e5076 * progmodes/verilog-mode.el (verilog-declaration-core-re):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79986
diff changeset
9356 (verilog-pretty-declarations quiet)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9357 (goto-char pnt)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9358 (verilog-pretty-expr t "//"))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9359
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9360 (defun verilog-auto-output (&optional with-params)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9361 "Expand AUTOOUTPUT statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9362 Make output statements for any output signal from an /*AUTOINST*/ that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9363 isn't a input to another AUTOINST. This is useful for modules which
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9364 only instantiate other modules.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9365
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9366 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9367 This ONLY detects outputs of AUTOINSTants (see `verilog-read-sub-decls').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9368
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9369 If placed inside the parenthesis of a module declaration, it creates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9370 Verilog 2001 style, else uses Verilog 1995 style.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9371
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9372 If any concatenation, or bit-subscripts are missing in the AUTOINSTant's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9373 instantiation, all bets are off. (For example due to a AUTO_TEMPLATE).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9374
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9375 Typedefs must match `verilog-typedef-regexp', which is disabled by default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9376
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9377 Signals matching `verilog-auto-output-ignore-regexp' are not included.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9378
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9379 An example (see `verilog-auto-inst' for what else is going on here):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9380
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9381 module ExampOutput (ov,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9382 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9383 /*AUTOOUTPUT*/
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9384 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9385 (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9386 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9387
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9388 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9389
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9390 module ExampOutput (ov,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9391 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9392 /*AUTOOUTPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9393 // Beginning of automatic outputs (from unused autoinst outputs)
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9394 output [31:0] ov; // From inst of inst.v
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9395 // End of automatics
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9396 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9397 (/*AUTOINST*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9398 // Outputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9399 .ov (ov[31:0]),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9400 // Inputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9401 .i (i));
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9402 endmodule
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9403
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9404 You may also provide an optional regular expression, in which case only
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9405 signals matching the regular expression will be included. For example the
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9406 same expansion will result from only extracting outputs starting with ov:
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9407
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9408 /*AUTOOUTPUT(\"^ov\")*/"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9409 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9410 ;; Point must be at insertion point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9411 (let* ((indent-pt (current-indentation))
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9412 (regexp (and with-params
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9413 (nth 0 (verilog-read-auto-params 1))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9414 (v2k (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9415 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9416 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9417 (modsubdecls (verilog-modi-get-sub-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9418 (sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9419 (verilog-subdecls-get-outputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9420 (append (verilog-decls-get-outputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9421 (verilog-decls-get-inouts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9422 (verilog-subdecls-get-inputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9423 (verilog-subdecls-get-inouts modsubdecls)))))
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9424 (when regexp
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9425 (setq sig-list (verilog-signals-matching-regexp
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9426 sig-list regexp)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9427 (setq sig-list (verilog-signals-not-matching-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9428 sig-list verilog-auto-output-ignore-regexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9429 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9430 (when v2k (verilog-repair-open-comma))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9431 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9432 (verilog-insert-indent "// Beginning of automatic outputs (from unused autoinst outputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9433 (verilog-insert-definition sig-list "output" indent-pt v2k)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9434 (verilog-modi-cache-add-outputs modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9435 (verilog-insert-indent "// End of automatics\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9436 (when v2k (verilog-repair-close-comma)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9437
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9438 (defun verilog-auto-output-every ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9439 "Expand AUTOOUTPUTEVERY statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9440 Make output statements for any signals that aren't primary inputs or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9441 outputs already. This makes every signal in the design a output. This is
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9442 useful to get Synopsys to preserve every signal in the design, since it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9443 won't optimize away the outputs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9444
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9445 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9446
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9447 module ExampOutputEvery (o,i,tempa,tempb)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9448 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9449 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9450 /*AUTOOUTPUTEVERY*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9451 wire tempa = i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9452 wire tempb = tempa;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9453 wire o = tempb;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9454 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9455
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9456 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9457
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9458 module ExampOutputEvery (o,i,tempa,tempb)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9459 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9460 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9461 /*AUTOOUTPUTEVERY*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9462 // Beginning of automatic outputs (every signal)
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9463 output tempb;
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9464 output tempa;
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9465 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9466 wire tempa = i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9467 wire tempb = tempa;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9468 wire o = tempb;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9469 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9470 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9471 ;;Point must be at insertion point
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9472 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9473 (v2k (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9474 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9475 (moddecls (verilog-modi-get-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9476 (sig-list (verilog-signals-combine-bus
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9477 (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9478 (verilog-decls-get-signals moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9479 (verilog-decls-get-ports moddecls)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9480 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9481 (when v2k (verilog-repair-open-comma))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9482 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9483 (verilog-insert-indent "// Beginning of automatic outputs (every signal)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9484 (verilog-insert-definition sig-list "output" indent-pt v2k)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9485 (verilog-modi-cache-add-outputs modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9486 (verilog-insert-indent "// End of automatics\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9487 (when v2k (verilog-repair-close-comma)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9488
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9489 (defun verilog-auto-input (&optional with-params)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9490 "Expand AUTOINPUT statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9491 Make input statements for any input signal into an /*AUTOINST*/ that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9492 isn't declared elsewhere inside the module. This is useful for modules which
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9493 only instantiate other modules.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9494
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9495 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9496 This ONLY detects outputs of AUTOINSTants (see `verilog-read-sub-decls').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9497
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9498 If placed inside the parenthesis of a module declaration, it creates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9499 Verilog 2001 style, else uses Verilog 1995 style.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9500
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9501 If any concatenation, or bit-subscripts are missing in the AUTOINSTant's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9502 instantiation, all bets are off. (For example due to a AUTO_TEMPLATE).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9503
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9504 Typedefs must match `verilog-typedef-regexp', which is disabled by default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9505
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9506 Signals matching `verilog-auto-input-ignore-regexp' are not included.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9507
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9508 An example (see `verilog-auto-inst' for what else is going on here):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9509
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9510 module ExampInput (ov,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9511 output [31:0] ov;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9512 /*AUTOINPUT*/
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9513 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9514 (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9515 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9516
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9517 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9518
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9519 module ExampInput (ov,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9520 output [31:0] ov;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9521 /*AUTOINPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9522 // Beginning of automatic inputs (from unused autoinst inputs)
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9523 input i; // From inst of inst.v
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9524 // End of automatics
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9525 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9526 (/*AUTOINST*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9527 // Outputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9528 .ov (ov[31:0]),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9529 // Inputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9530 .i (i));
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9531 endmodule
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9532
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9533 You may also provide an optional regular expression, in which case only
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9534 signals matching the regular expression will be included. For example the
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9535 same expansion will result from only extracting inputs starting with i:
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9536
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9537 /*AUTOINPUT(\"^i\")*/"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9538 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9539 (let* ((indent-pt (current-indentation))
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9540 (regexp (and with-params
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9541 (nth 0 (verilog-read-auto-params 1))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9542 (v2k (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9543 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9544 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9545 (modsubdecls (verilog-modi-get-sub-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9546 (sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9547 (verilog-subdecls-get-inputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9548 (append (verilog-decls-get-inputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9549 (verilog-decls-get-inouts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9550 (verilog-decls-get-wires moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9551 (verilog-decls-get-regs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9552 (verilog-decls-get-consts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9553 (verilog-decls-get-gparams moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9554 (verilog-subdecls-get-outputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9555 (verilog-subdecls-get-inouts modsubdecls)))))
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9556 (when regexp
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9557 (setq sig-list (verilog-signals-matching-regexp
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9558 sig-list regexp)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9559 (setq sig-list (verilog-signals-not-matching-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9560 sig-list verilog-auto-input-ignore-regexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9561 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9562 (when v2k (verilog-repair-open-comma))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9563 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9564 (verilog-insert-indent "// Beginning of automatic inputs (from unused autoinst inputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9565 (verilog-insert-definition sig-list "input" indent-pt v2k)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9566 (verilog-modi-cache-add-inputs modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9567 (verilog-insert-indent "// End of automatics\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9568 (when v2k (verilog-repair-close-comma)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9569
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9570 (defun verilog-auto-inout (&optional with-params)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9571 "Expand AUTOINOUT statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9572 Make inout statements for any inout signal in an /*AUTOINST*/ that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9573 isn't declared elsewhere inside the module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9574
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9575 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9576 This ONLY detects outputs of AUTOINSTants (see `verilog-read-sub-decls').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9577
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9578 If placed inside the parenthesis of a module declaration, it creates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9579 Verilog 2001 style, else uses Verilog 1995 style.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9580
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9581 If any concatenation, or bit-subscripts are missing in the AUTOINSTant's
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9582 instantiation, all bets are off. (For example due to a AUTO_TEMPLATE).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9583
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9584 Typedefs must match `verilog-typedef-regexp', which is disabled by default.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9585
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9586 Signals matching `verilog-auto-inout-ignore-regexp' are not included.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9587
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9588 An example (see `verilog-auto-inst' for what else is going on here):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9589
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9590 module ExampInout (ov,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9591 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9592 /*AUTOINOUT*/
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9593 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9594 (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9595 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9596
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9597 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9598
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9599 module ExampInout (ov,i)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9600 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9601 /*AUTOINOUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9602 // Beginning of automatic inouts (from unused autoinst inouts)
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9603 inout [31:0] ov; // From inst of inst.v
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9604 // End of automatics
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9605 InstModule instName
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9606 (/*AUTOINST*/
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9607 // Inouts
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9608 .ov (ov[31:0]),
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9609 // Inputs
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9610 .i (i));
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9611 endmodule
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9612
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9613 You may also provide an optional regular expression, in which case only
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9614 signals matching the regular expression will be included. For example the
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9615 same expansion will result from only extracting inouts starting with i:
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9616
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9617 /*AUTOINOUT(\"^i\")*/"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9618 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9619 ;; Point must be at insertion point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9620 (let* ((indent-pt (current-indentation))
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9621 (regexp (and with-params
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9622 (nth 0 (verilog-read-auto-params 1))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9623 (v2k (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9624 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9625 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9626 (modsubdecls (verilog-modi-get-sub-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9627 (sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9628 (verilog-subdecls-get-inouts modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9629 (append (verilog-decls-get-outputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9630 (verilog-decls-get-inouts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9631 (verilog-decls-get-inputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9632 (verilog-subdecls-get-inputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9633 (verilog-subdecls-get-outputs modsubdecls)))))
93195
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9634 (when regexp
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9635 (setq sig-list (verilog-signals-matching-regexp
096de5eb1d54 (verilog-auto-output)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93096
diff changeset
9636 sig-list regexp)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9637 (setq sig-list (verilog-signals-not-matching-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9638 sig-list verilog-auto-inout-ignore-regexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9639 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9640 (when v2k (verilog-repair-open-comma))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9641 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9642 (verilog-insert-indent "// Beginning of automatic inouts (from unused autoinst inouts)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9643 (verilog-insert-definition sig-list "inout" indent-pt v2k)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9644 (verilog-modi-cache-add-inouts modi sig-list)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9645 (verilog-insert-indent "// End of automatics\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9646 (when v2k (verilog-repair-close-comma)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9647
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9648 (defun verilog-auto-inout-module (&optional complement)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9649 "Expand AUTOINOUTMODULE statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9650 Take input/output/inout statements from the specified module and insert
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9651 into the current module. This is useful for making null templates and
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9652 shell modules which need to have identical I/O with another module.
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
9653 Any I/O which are already defined in this module will not be redefined.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9654
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9655 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9656 If placed inside the parenthesis of a module declaration, it creates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9657 Verilog 2001 style, else uses Verilog 1995 style.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9658
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9659 Concatenation and outputting partial busses is not supported.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9660
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9661 Module names must be resolvable to filenames. See `verilog-auto-inst'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9662
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9663 Signals are not inserted in the same order as in the original module,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9664 though they will appear to be in the same order to a AUTOINST
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9665 instantiating either module.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9666
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9667 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9668
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9669 module ExampShell (/*AUTOARG*/)
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9670 /*AUTOINOUTMODULE(\"ExampMain\")*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9671 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9672
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9673 module ExampMain (i,o,io)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9674 input i;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9675 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9676 inout io;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9677 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9678
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9679 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9680
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9681 module ExampShell (/*AUTOARG*/i,o,io)
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9682 /*AUTOINOUTMODULE(\"ExampMain\")*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9683 // Beginning of automatic in/out/inouts (from specific module)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9684 output o;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9685 inout io;
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9686 input i;
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9687 // End of automatics
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9688 endmodule
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9689
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9690 You may also provide an optional regular expression, in which case only
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9691 signals matching the regular expression will be included. For example the
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9692 same expansion will result from only extracting signals starting with i:
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9693
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9694 /*AUTOINOUTMODULE(\"ExampMain\",\"^i\")*/
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9695
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9696 You may also provide an optional second regulat expression, in
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9697 which case only signals which have that pin direction and data
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9698 type will be included. This matches against everything before
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9699 the signal name in the declaration, for example against
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9700 \"input\" (single bit), \"output logic\" (direction and type) or
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9701 \"output [1:0]\" (direction and implicit type). You also
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9702 probably want to skip spaces in your regexp.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9703
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9704 For example, the below will result in matching the output \"o\"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9705 against the previous example's module:
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9706
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9707 /*AUTOINOUTMODULE(\"ExampMain\",\"\",\"^output.*\")*/"
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9708 (save-excursion
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9709 (let* ((params (verilog-read-auto-params 1 3))
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9710 (submod (nth 0 params))
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9711 (regexp (nth 1 params))
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9712 (direction-re (nth 2 params))
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9713 submodi)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9714 ;; Lookup position, etc of co-module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9715 ;; Note this may raise an error
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9716 (when (setq submodi (verilog-modi-lookup submod t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9717 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9718 (v2k (verilog-in-paren))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9719 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9720 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9721 (submoddecls (verilog-modi-get-decls submodi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9722 (sig-list-i (verilog-signals-not-in
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9723 (if complement
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9724 (verilog-decls-get-outputs submoddecls)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9725 (verilog-decls-get-inputs submoddecls))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9726 (append (verilog-decls-get-inputs moddecls))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9727 (sig-list-o (verilog-signals-not-in
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9728 (if complement
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9729 (verilog-decls-get-inputs submoddecls)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9730 (verilog-decls-get-outputs submoddecls))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9731 (append (verilog-decls-get-outputs moddecls))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9732 (sig-list-io (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9733 (verilog-decls-get-inouts submoddecls)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9734 (append (verilog-decls-get-inouts moddecls))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9735 (sig-list-if (verilog-signals-not-in
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9736 (verilog-decls-get-interfaces submoddecls)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9737 (append (verilog-decls-get-interfaces moddecls)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9738 (forward-line 1)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9739 (setq sig-list-i (verilog-signals-matching-dir-re
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9740 (verilog-signals-matching-regexp sig-list-i regexp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9741 "input" direction-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9742 sig-list-o (verilog-signals-matching-dir-re
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9743 (verilog-signals-matching-regexp sig-list-o regexp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9744 "output" direction-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9745 sig-list-io (verilog-signals-matching-dir-re
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9746 (verilog-signals-matching-regexp sig-list-io regexp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9747 "inout" direction-re)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9748 sig-list-if (verilog-signals-matching-dir-re
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9749 (verilog-signals-matching-regexp sig-list-if regexp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9750 "interface" direction-re))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9751 (when v2k (verilog-repair-open-comma))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9752 (when (or sig-list-i sig-list-o sig-list-io)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9753 (verilog-insert-indent "// Beginning of automatic in/out/inouts (from specific module)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9754 ;; Don't sort them so a upper AUTOINST will match the main module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9755 (verilog-insert-definition sig-list-o "output" indent-pt v2k t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9756 (verilog-insert-definition sig-list-io "inout" indent-pt v2k t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9757 (verilog-insert-definition sig-list-i "input" indent-pt v2k t)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9758 (verilog-insert-definition sig-list-if "interface" indent-pt v2k t)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9759 (verilog-modi-cache-add-inputs modi sig-list-i)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9760 (verilog-modi-cache-add-outputs modi sig-list-o)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9761 (verilog-modi-cache-add-inouts modi sig-list-io)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9762 (verilog-insert-indent "// End of automatics\n"))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9763 (when v2k (verilog-repair-close-comma)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9764
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9765 (defun verilog-auto-inout-comp ()
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9766 "Expand AUTOINOUTCOMP statements, as part of \\[verilog-auto].
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9767 Take input/output/inout statements from the specified module and
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9768 insert the inverse into the current module (inputs become outputs
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9769 and vice-versa.) This is useful for making test and stimulus
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9770 modules which need to have complementing I/O with another module.
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9771 Any I/O which are already defined in this module will not be
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9772 redefined.
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9773
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9774 Limitations:
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9775 If placed inside the parenthesis of a module declaration, it creates
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9776 Verilog 2001 style, else uses Verilog 1995 style.
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9777
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9778 Concatenation and outputting partial busses is not supported.
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9779
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9780 Module names must be resolvable to filenames. See `verilog-auto-inst'.
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9781
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9782 Signals are not inserted in the same order as in the original module,
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9783 though they will appear to be in the same order to a AUTOINST
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9784 instantiating either module.
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9785
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9786 An example:
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9787
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9788 module ExampShell (/*AUTOARG*/)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9789 /*AUTOINOUTCOMP(\"ExampMain\")*/
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9790 endmodule
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9791
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9792 module ExampMain (i,o,io)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9793 input i;
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9794 output o;
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9795 inout io;
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9796 endmodule
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9797
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9798 Typing \\[verilog-auto] will make this into:
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9799
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9800 module ExampShell (/*AUTOARG*/i,o,io)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9801 /*AUTOINOUTCOMP(\"ExampMain\")*/
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9802 // Beginning of automatic in/out/inouts (from specific module)
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9803 output i;
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9804 inout io;
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9805 input o;
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9806 // End of automatics
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9807 endmodule
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9808
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9809 You may also provide an optional regular expression, in which case only
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9810 signals matching the regular expression will be included. For example the
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9811 same expansion will result from only extracting signals starting with i:
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9812
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9813 /*AUTOINOUTCOMP(\"ExampMain\",\"^i\")*/"
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9814 (verilog-auto-inout-module t))
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
9815
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9816 (defun verilog-auto-insert-lisp ()
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9817 "Expand AUTOINSERTLISP statements, as part of \\[verilog-auto].
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9818 The Lisp code provided is called, and the Lisp code calls
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9819 `insert` to insert text into the current file beginning on the
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9820 line after the AUTOINSERTLISP.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9821
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9822 See also AUTO_LISP, which takes a Lisp expression and evaluates
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9823 it during `verilog-auto-inst' but does not insert any text.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9824
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9825 An example:
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9826
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9827 module ExampInsertLisp;
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9828 /*AUTOINSERTLISP(my-verilog-insert-hello \"world\")*/
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9829 endmodule
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9830
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9831 // For this example we declare the function in the
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9832 // module's file itself. Often you'd define it instead
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9833 // in a site-start.el or .emacs file.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9834 /*
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9835 Local Variables:
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9836 eval:
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9837 (defun my-verilog-insert-hello (who)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9838 (insert (concat \"initial $write(\\\"hello \" who \"\\\");\\n\")))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9839 End:
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9840 */
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9841
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9842 Typing \\[verilog-auto] will call my-verilog-insert-hello and
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9843 expand the above into:
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9844
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9845 // Beginning of automatic insert lisp
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9846 initial $write(\"hello world\");
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9847 // End of automatics
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9848
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9849 You can also call an external program and insert the returned
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9850 text:
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9851
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9852 /*AUTOINSERTLISP(insert (shell-command-to-string \"echo //hello\"))*/
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9853 // Beginning of automatic insert lisp
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9854 //hello
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9855 // End of automatics"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9856 (save-excursion
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9857 ;; Point is at end of /*AUTO...*/
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9858 (let* ((indent-pt (current-indentation))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9859 (cmd-end-pt (save-excursion (search-backward ")")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9860 (forward-char)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9861 (point))) ;; Closing paren
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9862 (cmd-beg-pt (save-excursion (goto-char cmd-end-pt)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9863 (backward-sexp 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9864 (point))) ;; Beginning paren
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9865 (cmd (buffer-substring-no-properties cmd-beg-pt cmd-end-pt)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9866 (forward-line 1)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9867 (let ((pre-eval-pt (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9868 ;;Debug: (insert cmd)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9869 ;; Don't use eval-region as Xemacs has a bug where it goto-char's begin-pt
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9870 (eval (read cmd))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9871 ;; If inserted something add the begin/end blocks
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9872 (when (not (equal pre-eval-pt (point)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9873 (when (not (bolp)) (insert "\n")) ;; If user forgot final newline, add it
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9874 (save-excursion
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9875 (goto-char pre-eval-pt)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9876 (verilog-insert-indent "// Beginning of automatic insert lisp\n"))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9877 (verilog-insert-indent "// End of automatics\n"))))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9878
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9879 (defun verilog-auto-sense-sigs (moddecls presense-sigs)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9880 "Return list of signals for current AUTOSENSE block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9881 (let* ((sigss (verilog-read-always-signals))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9882 (sig-list (verilog-signals-not-params
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9883 (verilog-signals-not-in (verilog-alw-get-inputs sigss)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9884 (append (and (not verilog-auto-sense-include-inputs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9885 (verilog-alw-get-outputs sigss))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9886 (verilog-decls-get-consts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9887 (verilog-decls-get-gparams moddecls)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9888 presense-sigs)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9889 sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9890
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9891 (defun verilog-auto-sense ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9892 "Expand AUTOSENSE statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9893 Replace the always (/*AUTOSENSE*/) sensitivity list (/*AS*/ for short)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9894 with one automatically derived from all inputs declared in the always
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9895 statement. Signals that are generated within the same always block are NOT
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9896 placed into the sensitivity list (see `verilog-auto-sense-include-inputs').
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9897 Long lines are split based on the `fill-column', see \\[set-fill-column].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9898
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9899 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9900 Verilog does not allow memories (multidimensional arrays) in sensitivity
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9901 lists. AUTOSENSE will thus exclude them, and add a /*memory or*/ comment.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9902
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9903 Constant signals:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9904 AUTOSENSE cannot always determine if a `define is a constant or a signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9905 (it could be in a include file for example). If a `define or other signal
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9906 is put into the AUTOSENSE list and is not desired, use the AUTO_CONSTANT
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9907 declaration anywhere in the module (parenthesis are required):
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9908
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9909 /* AUTO_CONSTANT ( `this_is_really_constant_dont_autosense_it ) */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9910
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9911 Better yet, use a parameter, which will be understood to be constant
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9912 automatically.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9913
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9914 OOps!
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9915 If AUTOSENSE makes a mistake, please report it. (First try putting
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9916 a begin/end after your always!) As a workaround, if a signal that
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9917 shouldn't be in the sensitivity list was, use the AUTO_CONSTANT above.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9918 If a signal should be in the sensitivity list wasn't, placing it before
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9919 the /*AUTOSENSE*/ comment will prevent it from being deleted when the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9920 autos are updated (or added if it occurs there already).
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9921
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9922 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9923
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9924 always @ (/*AS*/) begin
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9925 /* AUTO_CONSTANT (`constant) */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9926 outin = ina | inb | `constant;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9927 out = outin;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9928 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9929
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9930 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9931
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9932 always @ (/*AS*/ina or inb) begin
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9933 /* AUTO_CONSTANT (`constant) */
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9934 outin = ina | inb | `constant;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9935 out = outin;
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9936 end
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9937
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9938 Note in Verilog 2001, you can often get the same result from the new @*
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9939 operator. (This was added to the language in part due to AUTOSENSE!)
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9940
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9941 always @* begin
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9942 outin = ina | inb | `constant;
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
9943 out = outin;
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9944 end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9945 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9946 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9947 (let* ((start-pt (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9948 (verilog-re-search-backward "(" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9949 (point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9950 (indent-pt (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9951 (or (and (goto-char start-pt) (1+ (current-column)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9952 (current-indentation))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9953 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9954 (moddecls (verilog-modi-get-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9955 (sig-memories (verilog-signals-memory
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9956 (append
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9957 (verilog-decls-get-regs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9958 (verilog-decls-get-wires moddecls))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9959 sig-list not-first presense-sigs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9960 ;; Read signals in always, eliminate outputs from sense list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9961 (setq presense-sigs (verilog-signals-from-signame
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9962 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9963 (verilog-read-signals start-pt (point)))))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
9964 (setq sig-list (verilog-auto-sense-sigs moddecls presense-sigs))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9965 (when sig-memories
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9966 (let ((tlen (length sig-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9967 (setq sig-list (verilog-signals-not-in sig-list sig-memories))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9968 (if (not (eq tlen (length sig-list))) (insert " /*memory or*/ "))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9969 (if (and presense-sigs ;; Add a "or" if not "(.... or /*AUTOSENSE*/"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9970 (save-excursion (goto-char (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9971 (verilog-re-search-backward "[a-zA-Z0-9$_.%`]+" start-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9972 (verilog-re-search-backward "\\s-" start-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9973 (while (looking-at "\\s-`endif")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9974 (verilog-re-search-backward "[a-zA-Z0-9$_.%`]+" start-pt t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9975 (verilog-re-search-backward "\\s-" start-pt t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9976 (not (looking-at "\\s-or\\b"))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9977 (setq not-first t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9978 (setq sig-list (sort sig-list `verilog-signals-sort-compare))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9979 (while sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9980 (cond ((> (+ 4 (current-column) (length (verilog-sig-name (car sig-list)))) fill-column) ;+4 for width of or
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9981 (insert "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9982 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9983 (if not-first (insert "or ")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9984 (not-first (insert " or ")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9985 (insert (verilog-sig-name (car sig-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9986 (setq sig-list (cdr sig-list)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
9987 not-first t)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9988
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9989 (defun verilog-auto-reset ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9990 "Expand AUTORESET statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9991 Replace the /*AUTORESET*/ comment with code to initialize all
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9992 registers set elsewhere in the always block.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9993
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9994 Limitations:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9995 AUTORESET will not clear memories.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9996
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9997 AUTORESET uses <= if there are any <= assigmnents in the block,
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
9998 else it uses =.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
9999
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10000 /*AUTORESET*/ presumes that any signals mentioned between the previous
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10001 begin/case/if statement and the AUTORESET comment are being reset manually
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10002 and should not be automatically reset. This includes omitting any signals
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10003 used on the right hand side of assignments.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10004
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10005 By default, AUTORESET will include the width of the signal in the autos,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10006 this is a recent change. To control this behavior, see
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10007 `verilog-auto-reset-widths'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10008
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10009 AUTORESET ties signals to deasserted, which is presumed to be zero.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10010 Signals that match `verilog-active-low-regexp' will be deasserted by tieing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10011 them to a one.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10012
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10013 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10014
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10015 always @(posedge clk or negedge reset_l) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10016 if (!reset_l) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10017 c <= 1;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10018 /*AUTORESET*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10019 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10020 else begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10021 a <= in_a;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10022 b <= in_b;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10023 c <= in_c;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10024 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10025 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10026
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10027 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10028
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10029 always @(posedge core_clk or negedge reset_l) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10030 if (!reset_l) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10031 c <= 1;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10032 /*AUTORESET*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10033 // Beginning of autoreset for uninitialized flops
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10034 a <= 0;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10035 b <= 0;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10036 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10037 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10038 else begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10039 a <= in_a;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10040 b <= in_b;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10041 c <= in_c;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10042 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10043 end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10044
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10045 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10046 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10047 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10048 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10049 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10050 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10051 (all-list (verilog-decls-get-signals moddecls))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10052 sigss sig-list prereset-sigs assignment-str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10053 ;; Read signals in always, eliminate outputs from reset list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10054 (setq prereset-sigs (verilog-signals-from-signame
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10055 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10056 (verilog-read-signals
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10057 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10058 (verilog-re-search-backward "\\(@\\|\\<begin\\>\\|\\<if\\>\\|\\<case\\>\\)" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10059 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10060 (point)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10061 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10062 (verilog-re-search-backward "@" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10063 (setq sigss (verilog-read-always-signals)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10064 (setq assignment-str (if (verilog-alw-get-uses-delayed sigss)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10065 (concat " <= " verilog-assignment-delay)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10066 " = "))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10067 (setq sig-list (verilog-signals-not-in (verilog-alw-get-outputs sigss)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10068 prereset-sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10069 (setq sig-list (sort sig-list `verilog-signals-sort-compare))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10070 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10071 (insert "\n");
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10072 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10073 (insert "// Beginning of autoreset for uninitialized flops\n");
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10074 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10075 (while sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10076 (let ((sig (or (assoc (verilog-sig-name (car sig-list)) all-list) ;; As sig-list has no widths
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10077 (car sig-list))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10078 (insert (verilog-sig-name sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10079 assignment-str
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10080 (verilog-sig-tieoff sig (not verilog-auto-reset-widths))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10081 ";\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10082 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10083 (setq sig-list (cdr sig-list))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10084 (insert "// End of automatics")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10085
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10086 (defun verilog-auto-tieoff ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10087 "Expand AUTOTIEOFF statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10088 Replace the /*AUTOTIEOFF*/ comment with code to wire-tie all unused output
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10089 signals to deasserted.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10090
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10091 /*AUTOTIEOFF*/ is used to make stub modules; modules that have the same
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10092 input/output list as another module, but no internals. Specifically, it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10093 finds all outputs in the module, and if that input is not otherwise declared
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10094 as a register or wire, creates a tieoff.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10095
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10096 AUTORESET ties signals to deasserted, which is presumed to be zero.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10097 Signals that match `verilog-active-low-regexp' will be deasserted by tieing
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10098 them to a one.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10099
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10100 An example of making a stub for another module:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10101
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10102 module ExampStub (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10103 /*AUTOINOUTMODULE(\"Foo\")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10104 /*AUTOTIEOFF*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10105 // verilator lint_off UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10106 wire _unused_ok = &{1'b0,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10107 /*AUTOUNUSED*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10108 1'b0};
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10109 // verilator lint_on UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10110 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10111
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10112 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10113
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10114 module ExampStub (/*AUTOINST*/...);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10115 /*AUTOINOUTMODULE(\"Foo\")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10116 // Beginning of autotieoff
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10117 output [2:0] foo;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10118 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10119
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10120 /*AUTOTIEOFF*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10121 // Beginning of autotieoff
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10122 wire [2:0] foo = 3'b0;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10123 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10124 ...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10125 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10126 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10127 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10128 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10129 (let* ((indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10130 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10131 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10132 (modsubdecls (verilog-modi-get-sub-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10133 (sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10134 (verilog-decls-get-outputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10135 (append (verilog-decls-get-wires moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10136 (verilog-decls-get-regs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10137 (verilog-decls-get-assigns moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10138 (verilog-decls-get-consts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10139 (verilog-decls-get-gparams moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10140 (verilog-subdecls-get-outputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10141 (verilog-subdecls-get-inouts modsubdecls)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10142 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10143 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10144 (verilog-insert-indent "// Beginning of automatic tieoffs (for this module's unterminated outputs)\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10145 (setq sig-list (sort (copy-alist sig-list) `verilog-signals-sort-compare))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10146 (verilog-modi-cache-add-wires modi sig-list) ; Before we trash list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10147 (while sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10148 (let ((sig (car sig-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10149 (verilog-insert-one-definition sig "wire" indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10150 (indent-to (max 48 (+ indent-pt 40)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10151 (insert "= " (verilog-sig-tieoff sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10152 ";\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10153 (setq sig-list (cdr sig-list))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10154 (verilog-insert-indent "// End of automatics\n")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10155
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10156 (defun verilog-auto-unused ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10157 "Expand AUTOUNUSED statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10158 Replace the /*AUTOUNUSED*/ comment with a comma separated list of all unused
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10159 input and inout signals.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10160
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10161 /*AUTOUNUSED*/ is used to make stub modules; modules that have the same
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10162 input/output list as another module, but no internals. Specifically, it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10163 finds all inputs and inouts in the module, and if that input is not otherwise
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10164 used, adds it to a comma separated list.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10165
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10166 The comma separated list is intended to be used to create a _unused_ok
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10167 signal. Using the exact name \"_unused_ok\" for name of the temporary
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10168 signal is recommended as it will insure maximum forward compatibility, it
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10169 also makes lint warnings easy to understand; ignore any unused warnings
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10170 with \"unused\" in the signal name.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10171
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10172 To reduce simulation time, the _unused_ok signal should be forced to a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10173 constant to prevent wiggling. The easiest thing to do is use a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10174 reduction-and with 1'b0 as shown.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10175
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10176 This way all unused signals are in one place, making it convenient to add
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10177 your tool's specific pragmas around the assignment to disable any unused
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10178 warnings.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10179
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10180 You can add signals you do not want included in AUTOUNUSED with
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10181 `verilog-auto-unused-ignore-regexp'.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10182
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10183 An example of making a stub for another module:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10184
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10185 module ExampStub (/*AUTOINST*/);
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10186 /*AUTOINOUTMODULE(\"Examp\")*/
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10187 /*AUTOTIEOFF*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10188 // verilator lint_off UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10189 wire _unused_ok = &{1'b0,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10190 /*AUTOUNUSED*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10191 1'b0};
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10192 // verilator lint_on UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10193 endmodule
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10194
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10195 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10196
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10197 ...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10198 // verilator lint_off UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10199 wire _unused_ok = &{1'b0,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10200 /*AUTOUNUSED*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10201 // Beginning of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10202 unused_input_a,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10203 unused_input_b,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10204 unused_input_c,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10205 // End of automatics
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10206 1'b0};
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10207 // verilator lint_on UNUSED
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10208 endmodule"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10209 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10210 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10211 ;; Find beginning
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10212 (let* ((indent-pt (progn (search-backward "/*") (current-column)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10213 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10214 (moddecls (verilog-modi-get-decls modi))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10215 (modsubdecls (verilog-modi-get-sub-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10216 (sig-list (verilog-signals-not-in
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10217 (append (verilog-decls-get-inputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10218 (verilog-decls-get-inouts moddecls))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10219 (append (verilog-subdecls-get-inputs modsubdecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10220 (verilog-subdecls-get-inouts modsubdecls)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10221 (setq sig-list (verilog-signals-not-matching-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10222 sig-list verilog-auto-unused-ignore-regexp))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10223 (when sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10224 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10225 (verilog-insert-indent "// Beginning of automatic unused inputs\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10226 (setq sig-list (sort (copy-alist sig-list) `verilog-signals-sort-compare))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10227 (while sig-list
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10228 (let ((sig (car sig-list)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10229 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10230 (insert (verilog-sig-name sig) ",\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10231 (setq sig-list (cdr sig-list))))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10232 (verilog-insert-indent "// End of automatics\n")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10233
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10234 (defun verilog-enum-ascii (signm elim-regexp)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
10235 "Convert an enum name SIGNM to an ascii string for insertion.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10236 Remove user provided prefix ELIM-REGEXP."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10237 (or elim-regexp (setq elim-regexp "_ DONT MATCH IT_"))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10238 (let ((case-fold-search t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10239 ;; All upper becomes all lower for readability
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10240 (downcase (verilog-string-replace-matches elim-regexp "" nil nil signm))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10241
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10242 (defun verilog-auto-ascii-enum ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10243 "Expand AUTOASCIIENUM statements, as part of \\[verilog-auto].
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10244 Create a register to contain the ASCII decode of a enumerated signal type.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10245 This will allow trace viewers to show the ASCII name of states.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10246
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10247 First, parameters are built into a enumeration using the synopsys enum
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10248 comment. The comment must be between the keyword and the symbol.
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10249 \(Annoying, but that's what Synopsys's dc_shell FSM reader requires.)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10250
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10251 Next, registers which that enum applies to are also tagged with the same
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10252 enum. Synopsys also suggests labeling state vectors, but `verilog-mode'
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10253 doesn't care.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10254
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10255 Finally, a AUTOASCIIENUM command is used.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10256
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10257 The first parameter is the name of the signal to be decoded.
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10258 If and only if the first parameter width is 2^(number of states
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10259 in enum) and does NOT match the width of the enum, the signal
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10260 is assumed to be a one hot decode. Otherwise, it's a normal
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10261 encoded state vector.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10262
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10263 The second parameter is the name to store the ASCII code into. For the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10264 signal foo, I suggest the name _foo__ascii, where the leading _ indicates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10265 a signal that is just for simulation, and the magic characters _ascii
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10266 tell viewers like Dinotrace to display in ASCII format.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10267
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10268 The final optional parameter is a string which will be removed from the
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10269 state names.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10270
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10271 An example:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10272
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10273 //== State enumeration
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10274 parameter [2:0] // synopsys enum state_info
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10275 SM_IDLE = 3'b000,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10276 SM_SEND = 3'b001,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10277 SM_WAIT1 = 3'b010;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10278 //== State variables
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10279 reg [2:0] /* synopsys enum state_info */
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10280 state_r; /* synopsys state_vector state_r */
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10281 reg [2:0] /* synopsys enum state_info */
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10282 state_e1;
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10283
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10284 /*AUTOASCIIENUM(\"state_r\", \"state_ascii_r\", \"SM_\")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10285
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10286 Typing \\[verilog-auto] will make this into:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10287
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10288 ... same front matter ...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10289
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10290 /*AUTOASCIIENUM(\"state_r\", \"state_ascii_r\", \"SM_\")*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10291 // Beginning of automatic ASCII enum decoding
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10292 reg [39:0] state_ascii_r; // Decode of state_r
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10293 always @(state_r) begin
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10294 case ({state_r})
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10295 SM_IDLE: state_ascii_r = \"idle \";
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10296 SM_SEND: state_ascii_r = \"send \";
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10297 SM_WAIT1: state_ascii_r = \"wait1\";
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10298 default: state_ascii_r = \"%Erro\";
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10299 endcase
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10300 end
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10301 // End of automatics"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10302 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10303 (let* ((params (verilog-read-auto-params 2 3))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10304 (undecode-name (nth 0 params))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10305 (ascii-name (nth 1 params))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10306 (elim-regexp (nth 2 params))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10307 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10308 (indent-pt (current-indentation))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10309 (modi (verilog-modi-current))
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10310 (moddecls (verilog-modi-get-decls modi))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10311 ;;
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10312 (sig-list-consts (append (verilog-decls-get-consts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10313 (verilog-decls-get-gparams moddecls)))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10314 (sig-list-all (append (verilog-decls-get-regs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10315 (verilog-decls-get-outputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10316 (verilog-decls-get-inouts moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10317 (verilog-decls-get-inputs moddecls)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10318 (verilog-decls-get-wires moddecls)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10319 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10320 (undecode-sig (or (assoc undecode-name sig-list-all)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10321 (error "%s: Signal %s not found in design" (verilog-point-text) undecode-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10322 (undecode-enum (or (verilog-sig-enum undecode-sig)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10323 (error "%s: Signal %s does not have a enum tag" (verilog-point-text) undecode-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10324 ;;
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10325 (enum-sigs (verilog-signals-not-in
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10326 (or (verilog-signals-matching-enum sig-list-consts undecode-enum)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10327 (error "%s: No state definitions for %s" (verilog-point-text) undecode-enum))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10328 nil))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10329 ;;
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10330 (one-hot (and ;; width(enum) != width(sig)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10331 (or (not (verilog-sig-bits (car enum-sigs)))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10332 (not (equal (verilog-sig-width (car enum-sigs))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10333 (verilog-sig-width undecode-sig))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10334 ;; count(enums) == width(sig)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10335 (equal (number-to-string (length enum-sigs))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10336 (verilog-sig-width undecode-sig))))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10337 (enum-chars 0)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10338 (ascii-chars 0))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10339 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10340 ;; Find number of ascii chars needed
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10341 (let ((tmp-sigs enum-sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10342 (while tmp-sigs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10343 (setq enum-chars (max enum-chars (length (verilog-sig-name (car tmp-sigs))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10344 ascii-chars (max ascii-chars (length (verilog-enum-ascii
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10345 (verilog-sig-name (car tmp-sigs))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10346 elim-regexp)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10347 tmp-sigs (cdr tmp-sigs))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10348 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10349 (forward-line 1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10350 (verilog-insert-indent "// Beginning of automatic ASCII enum decoding\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10351 (let ((decode-sig-list (list (list ascii-name (format "[%d:0]" (- (* ascii-chars 8) 1))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10352 (concat "Decode of " undecode-name) nil nil))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10353 (verilog-insert-definition decode-sig-list "reg" indent-pt nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10354 (verilog-modi-cache-add-regs modi decode-sig-list))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10355 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10356 (verilog-insert-indent "always @(" undecode-name ") begin\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10357 (setq indent-pt (+ indent-pt verilog-indent-level))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10358 (indent-to indent-pt)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10359 (insert "case ({" undecode-name "})\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10360 (setq indent-pt (+ indent-pt verilog-case-indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10361 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10362 (let ((tmp-sigs enum-sigs)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10363 (chrfmt (format "%%-%ds %s = \"%%-%ds\";\n"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10364 (+ (if one-hot 9 1) (max 8 enum-chars))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10365 ascii-name ascii-chars))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10366 (errname (substring "%Error" 0 (min 6 ascii-chars))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10367 (while tmp-sigs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10368 (verilog-insert-indent
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10369 (concat
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10370 (format chrfmt
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10371 (concat (if one-hot "(")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10372 (if one-hot (verilog-sig-width undecode-sig))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10373 ;; We use a shift instead of var[index]
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10374 ;; so that a non-one hot value will show as error.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10375 (if one-hot "'b1<<")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10376 (verilog-sig-name (car tmp-sigs))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10377 (if one-hot ")") ":")
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10378 (verilog-enum-ascii (verilog-sig-name (car tmp-sigs))
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10379 elim-regexp))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10380 (setq tmp-sigs (cdr tmp-sigs)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10381 (verilog-insert-indent (format chrfmt "default:" errname)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10382 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10383 (setq indent-pt (- indent-pt verilog-case-indent))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10384 (verilog-insert-indent "endcase\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10385 (setq indent-pt (- indent-pt verilog-indent-level))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10386 (verilog-insert-indent "end\n"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10387 "// End of automatics\n"))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10388
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10389 (defun verilog-auto-templated-rel ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10390 "Replace Templated relative line numbers with absolute line numbers.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10391 Internal use only. This hacks around the line numbers in AUTOINST Templates
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10392 being different from the final output's line numbering."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10393 (let ((templateno 0) (template-line (list 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10394 ;; Find line number each template is on
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10395 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10396 (while (search-forward "AUTO_TEMPLATE" nil t)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10397 (setq templateno (1+ templateno))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10398 (setq template-line
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10399 (cons (count-lines (point-min) (point)) template-line)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10400 (setq template-line (nreverse template-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10401 ;; Replace T# L# with absolute line number
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10402 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10403 (while (re-search-forward " Templated T\\([0-9]+\\) L\\([0-9]+\\)" nil t)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10404 (replace-match
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10405 (concat " Templated "
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10406 (int-to-string (+ (nth (string-to-number (match-string 1))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10407 template-line)
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10408 (string-to-number (match-string 2)))))
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10409 t t))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10410
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10411
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10412 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10413 ;; Auto top level
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10414 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10415
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10416 (defun verilog-auto (&optional inject) ; Use verilog-inject-auto instead of passing a arg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10417 "Expand AUTO statements.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10418 Look for any /*AUTO...*/ commands in the code, as used in
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10419 instantiations or argument headers. Update the list of signals
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10420 following the /*AUTO...*/ command.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10421
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10422 Use \\[verilog-delete-auto] to remove the AUTOs.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10423
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10424 Use \\[verilog-inject-auto] to insert AUTOs for the first time.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10425
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10426 Use \\[verilog-faq] for a pointer to frequently asked questions.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10427
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10428 The hooks `verilog-before-auto-hook' and `verilog-auto-hook' are
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10429 called before and after this function, respectively.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10430
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10431 For example:
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10432 module ModuleName (/*AUTOARG*/)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10433 /*AUTOINPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10434 /*AUTOOUTPUT*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10435 /*AUTOWIRE*/
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10436 /*AUTOREG*/
93340
971b85f6050d * progmodes/verilog-mode.el (verilog-auto-inout-module):
Dan Nicolaescu <dann@ics.uci.edu>
parents: 93195
diff changeset
10437 InstMod instName #(/*AUTOINSTPARAM*/) (/*AUTOINST*/);
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10438
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10439 You can also update the AUTOs from the shell using:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10440 emacs --batch <filenames.v> -f verilog-batch-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10441 Or fix indentation with:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10442 emacs --batch <filenames.v> -f verilog-batch-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10443 Likewise, you can delete or inject AUTOs with:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10444 emacs --batch <filenames.v> -f verilog-batch-delete-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10445 emacs --batch <filenames.v> -f verilog-batch-inject-auto
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10446
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10447 Using \\[describe-function], see also:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10448 `verilog-auto-arg' for AUTOARG module instantiations
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10449 `verilog-auto-ascii-enum' for AUTOASCIIENUM enumeration decoding
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10450 `verilog-auto-inout-comp' for AUTOINOUTCOMP copy complemented i/o
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10451 `verilog-auto-inout-module' for AUTOINOUTMODULE copying i/o from elsewhere
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10452 `verilog-auto-inout' for AUTOINOUT making hierarchy inouts
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10453 `verilog-auto-input' for AUTOINPUT making hierarchy inputs
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10454 `verilog-auto-insert-lisp' for AUTOINSERTLISP insert code from lisp function
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10455 `verilog-auto-inst' for AUTOINST instantiation pins
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10456 `verilog-auto-star' for AUTOINST .* SystemVerilog pins
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10457 `verilog-auto-inst-param' for AUTOINSTPARAM instantiation params
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10458 `verilog-auto-output' for AUTOOUTPUT making hierarchy outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10459 `verilog-auto-output-every' for AUTOOUTPUTEVERY making all outputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10460 `verilog-auto-reg' for AUTOREG registers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10461 `verilog-auto-reg-input' for AUTOREGINPUT instantiation registers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10462 `verilog-auto-reset' for AUTORESET flop resets
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10463 `verilog-auto-sense' for AUTOSENSE always sensitivity lists
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10464 `verilog-auto-tieoff' for AUTOTIEOFF output tieoffs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10465 `verilog-auto-unused' for AUTOUNUSED unused inputs/inouts
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10466 `verilog-auto-wire' for AUTOWIRE instantiation wires
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10467
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10468 `verilog-read-defines' for reading `define values
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10469 `verilog-read-includes' for reading `includes
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10470
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10471 If you have bugs with these autos, please file an issue at
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10472 http://www.veripool.org/verilog-mode or contact the AUTOAUTHOR
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10473 Wilson Snyder (wsnyder@wsnyder.org)."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10474 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10475 (unless noninteractive (message "Updating AUTOs..."))
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10476 (if (fboundp 'dinotrace-unannotate-all)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10477 (dinotrace-unannotate-all))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10478 (let ((oldbuf (if (not (buffer-modified-p))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10479 (buffer-string)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10480 ;; Before version 20, match-string with font-lock returns a
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10481 ;; vector that is not equal to the string. IE if on "input"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10482 ;; nil==(equal "input" (progn (looking-at "input") (match-string 0)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10483 (fontlocked (when (and (boundp 'font-lock-mode)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10484 font-lock-mode)
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10485 (font-lock-mode 0)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10486 t))
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10487 ;; Cache directories; we don't write new files, so can't change
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10488 (verilog-dir-cache-preserving t))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10489 (unwind-protect
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10490 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10491 ;; If we're not in verilog-mode, change syntax table so parsing works right
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10492 (unless (eq major-mode `verilog-mode) (verilog-mode))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10493 ;; Allow user to customize
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10494 (run-hooks 'verilog-before-auto-hook)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10495 ;; Try to save the user from needing to revert-file to reread file local-variables
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10496 (verilog-auto-reeval-locals)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10497 (verilog-read-auto-lisp (point-min) (point-max))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10498 (verilog-getopt-flags)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10499 ;; From here on out, we can cache anything we read from disk
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10500 (verilog-preserve-dir-cache
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10501 ;; These two may seem obvious to do always, but on large includes it can be way too slow
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10502 (when verilog-auto-read-includes
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10503 (verilog-read-includes)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10504 (verilog-read-defines nil nil t))
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10505 ;; This particular ordering is important
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10506 ;; INST: Lower modules correct, no internal dependencies, FIRST
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10507 (verilog-preserve-modi-cache
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10508 ;; Clear existing autos else we'll be screwed by existing ones
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10509 (verilog-delete-auto)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10510 ;; Injection if appropriate
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10511 (when inject
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10512 (verilog-inject-inst)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10513 (verilog-inject-sense)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10514 (verilog-inject-arg))
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10515 ;;
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10516 ;; Do user inserts first, so their code can insert AUTOs
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10517 ;; We may provide a AUTOINSERTLISPLAST if another cleanup pass is needed
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10518 (verilog-auto-re-search-do "/\\*AUTOINSERTLISP(.*?)\\*/"
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10519 'verilog-auto-insert-lisp)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10520 ;; Expand instances before need the signals the instances input/output
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10521 (verilog-auto-re-search-do "/\\*AUTOINSTPARAM\\*/" 'verilog-auto-inst-param)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10522 (verilog-auto-re-search-do "/\\*AUTOINST\\*/" 'verilog-auto-inst)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10523 (verilog-auto-re-search-do "\\.\\*" 'verilog-auto-star)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10524 ;; Doesn't matter when done, but combine it with a common changer
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10525 (verilog-auto-re-search-do "/\\*\\(AUTOSENSE\\|AS\\)\\*/" 'verilog-auto-sense)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10526 (verilog-auto-re-search-do "/\\*AUTORESET\\*/" 'verilog-auto-reset)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10527 ;; Must be done before autoin/out as creates a reg
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10528 (verilog-auto-re-search-do "/\\*AUTOASCIIENUM([^)]*)\\*/" 'verilog-auto-ascii-enum)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10529 ;;
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10530 ;; first in/outs from other files
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10531 (verilog-auto-re-search-do "/\\*AUTOINOUTMODULE([^)]*)\\*/" 'verilog-auto-inout-module)
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10532 (verilog-auto-re-search-do "/\\*AUTOINOUTCOMP([^)]*)\\*/" 'verilog-auto-inout-comp)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10533 ;; next in/outs which need previous sucked inputs first
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10534 (verilog-auto-re-search-do "/\\*AUTOOUTPUT\\((\"[^\"]*\")\\)\\*/"
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10535 '(lambda () (verilog-auto-output t)))
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10536 (verilog-auto-re-search-do "/\\*AUTOOUTPUT\\*/" 'verilog-auto-output)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10537 (verilog-auto-re-search-do "/\\*AUTOINPUT\\((\"[^\"]*\")\\)\\*/"
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10538 '(lambda () (verilog-auto-input t)))
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10539 (verilog-auto-re-search-do "/\\*AUTOINPUT\\*/" 'verilog-auto-input)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10540 (verilog-auto-re-search-do "/\\*AUTOINOUT\\((\"[^\"]*\")\\)\\*/"
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10541 '(lambda () (verilog-auto-inout t)))
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10542 (verilog-auto-re-search-do "/\\*AUTOINOUT\\*/" 'verilog-auto-inout)
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10543 ;; Then tie off those in/outs
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10544 (verilog-auto-re-search-do "/\\*AUTOTIEOFF\\*/" 'verilog-auto-tieoff)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10545 ;; Wires/regs must be after inputs/outputs
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10546 (verilog-auto-re-search-do "/\\*AUTOWIRE\\*/" 'verilog-auto-wire)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10547 (verilog-auto-re-search-do "/\\*AUTOREG\\*/" 'verilog-auto-reg)
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10548 (verilog-auto-re-search-do "/\\*AUTOREGINPUT\\*/" 'verilog-auto-reg-input)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10549 ;; outputevery needs AUTOOUTPUTs done first
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10550 (verilog-auto-re-search-do "/\\*AUTOOUTPUTEVERY\\*/" 'verilog-auto-output-every)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10551 ;; After we've created all new variables
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10552 (verilog-auto-re-search-do "/\\*AUTOUNUSED\\*/" 'verilog-auto-unused)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10553 ;; Must be after all inputs outputs are generated
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10554 (verilog-auto-re-search-do "/\\*AUTOARG\\*/" 'verilog-auto-arg)
94760
e087ad93ebd1 (verilog-type-font-keywords): Add leda and 0in
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94691
diff changeset
10555 ;; Fix line numbers (comments only)
94691
54ad2e16eccb (verilog-getopt-file): Cleanup warning message format.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 94673
diff changeset
10556 (verilog-auto-templated-rel)))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10557 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10558 (run-hooks 'verilog-auto-hook)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10559 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10560 (set (make-local-variable 'verilog-auto-update-tick) (buffer-modified-tick))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10561 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10562 ;; If end result is same as when started, clear modified flag
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10563 (cond ((and oldbuf (equal oldbuf (buffer-string)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10564 (set-buffer-modified-p nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10565 (unless noninteractive (message "Updating AUTOs...done (no changes)")))
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10566 (t (unless noninteractive (message "Updating AUTOs...done")))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10567 ;; Unwind forms
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10568 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10569 ;; Restore font-lock
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
10570 (when fontlocked (font-lock-mode t))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10571
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10572
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10573 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10574 ;; Skeleton based code insertion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10575 ;;
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10576 (defvar verilog-template-map
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10577 (let ((map (make-sparse-keymap)))
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10578 (define-key map "a" 'verilog-sk-always)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10579 (define-key map "b" 'verilog-sk-begin)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10580 (define-key map "c" 'verilog-sk-case)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10581 (define-key map "f" 'verilog-sk-for)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10582 (define-key map "g" 'verilog-sk-generate)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10583 (define-key map "h" 'verilog-sk-header)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10584 (define-key map "i" 'verilog-sk-initial)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10585 (define-key map "j" 'verilog-sk-fork)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10586 (define-key map "m" 'verilog-sk-module)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10587 (define-key map "p" 'verilog-sk-primitive)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10588 (define-key map "r" 'verilog-sk-repeat)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10589 (define-key map "s" 'verilog-sk-specify)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10590 (define-key map "t" 'verilog-sk-task)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10591 (define-key map "w" 'verilog-sk-while)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10592 (define-key map "x" 'verilog-sk-casex)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10593 (define-key map "z" 'verilog-sk-casez)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10594 (define-key map "?" 'verilog-sk-if)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10595 (define-key map ":" 'verilog-sk-else-if)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10596 (define-key map "/" 'verilog-sk-comment)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10597 (define-key map "A" 'verilog-sk-assign)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10598 (define-key map "F" 'verilog-sk-function)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10599 (define-key map "I" 'verilog-sk-input)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10600 (define-key map "O" 'verilog-sk-output)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10601 (define-key map "S" 'verilog-sk-state-machine)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10602 (define-key map "=" 'verilog-sk-inout)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10603 (define-key map "W" 'verilog-sk-wire)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10604 (define-key map "R" 'verilog-sk-reg)
79550
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10605 (define-key map "D" 'verilog-sk-define-signal)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10606 map)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10607 "Keymap used in Verilog mode for smart template operations.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10608
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10609
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10610 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10611 ;; Place the templates into Verilog Mode. They may be inserted under any key.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10612 ;; C-c C-t will be the default. If you use templates a lot, you
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10613 ;; may want to consider moving the binding to another key in your .emacs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10614 ;; file.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10615 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10616 ;(define-key verilog-mode-map "\C-ct" verilog-template-map)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10617 (define-key verilog-mode-map "\C-c\C-t" verilog-template-map)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10618
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10619 ;;; ---- statement skeletons ------------------------------------------
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10620
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10621 (define-skeleton verilog-sk-prompt-condition
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10622 "Prompt for the loop condition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10623 "[condition]: " str )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10624
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10625 (define-skeleton verilog-sk-prompt-init
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10626 "Prompt for the loop init statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10627 "[initial statement]: " str )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10628
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10629 (define-skeleton verilog-sk-prompt-inc
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10630 "Prompt for the loop increment statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10631 "[increment statement]: " str )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10632
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10633 (define-skeleton verilog-sk-prompt-name
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10634 "Prompt for the name of something."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10635 "[name]: " str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10636
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10637 (define-skeleton verilog-sk-prompt-clock
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10638 "Prompt for the name of something."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10639 "name and edge of clock(s): " str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10640
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10641 (defvar verilog-sk-reset nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10642 (defun verilog-sk-prompt-reset ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10643 "Prompt for the name of a state machine reset."
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
10644 (setq verilog-sk-reset (read-string "name of reset: " "rst")))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10645
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10646
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10647 (define-skeleton verilog-sk-prompt-state-selector
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10648 "Prompt for the name of a state machine selector."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10649 "name of selector (eg {a,b,c,d}): " str )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10650
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10651 (define-skeleton verilog-sk-prompt-output
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10652 "Prompt for the name of something."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10653 "output: " str)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10654
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10655 (define-skeleton verilog-sk-prompt-msb
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10656 "Prompt for least significant bit specification."
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10657 "msb:" str & ?: & '(verilog-sk-prompt-lsb) | -1 )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10658
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10659 (define-skeleton verilog-sk-prompt-lsb
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10660 "Prompt for least significant bit specification."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10661 "lsb:" str )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10662
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10663 (defvar verilog-sk-p nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10664 (define-skeleton verilog-sk-prompt-width
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10665 "Prompt for a width specification."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10666 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10667 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10668 (setq verilog-sk-p (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10669 (verilog-sk-prompt-msb)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10670 (if (> (point) verilog-sk-p) "] " " ")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10671
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10672 (defun verilog-sk-header ()
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10673 "Insert a descriptive header at the top of the file.
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10674 See also `verilog-header' for an alternative format."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10675 (interactive "*")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10676 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10677 (goto-char (point-min))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10678 (verilog-sk-header-tmpl)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10679
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10680 (define-skeleton verilog-sk-header-tmpl
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10681 "Insert a comment block containing the module title, author, etc."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10682 "[Description]: "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10683 "// -*- Mode: Verilog -*-"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10684 "\n// Filename : " (buffer-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10685 "\n// Description : " str
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10686 "\n// Author : " (user-full-name)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10687 "\n// Created On : " (current-time-string)
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10688 "\n// Last Modified By: " (user-full-name)
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10689 "\n// Last Modified On: " (current-time-string)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10690 "\n// Update Count : 0"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10691 "\n// Status : Unknown, Use with caution!"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10692 "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10693
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10694 (define-skeleton verilog-sk-module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10695 "Insert a module definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10696 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10697 > "module " '(verilog-sk-prompt-name) " (/*AUTOARG*/ ) ;" \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10698 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10699 > (- verilog-indent-level-behavioral) "endmodule" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10700
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10701 (define-skeleton verilog-sk-primitive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10702 "Insert a task definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10703 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10704 > "primitive " '(verilog-sk-prompt-name) " ( " '(verilog-sk-prompt-output) ("input:" ", " str ) " );"\n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10705 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10706 > (- verilog-indent-level-behavioral) "endprimitive" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10707
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10708 (define-skeleton verilog-sk-task
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10709 "Insert a task definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10710 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10711 > "task " '(verilog-sk-prompt-name) & ?; \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10712 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10713 > "begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10714 > \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10715 > (- verilog-indent-level-behavioral) "end" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10716 > (- verilog-indent-level-behavioral) "endtask" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10717
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10718 (define-skeleton verilog-sk-function
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10719 "Insert a function definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10720 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10721 > "function [" '(verilog-sk-prompt-width) | -1 '(verilog-sk-prompt-name) ?; \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10722 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10723 > "begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10724 > \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10725 > (- verilog-indent-level-behavioral) "end" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10726 > (- verilog-indent-level-behavioral) "endfunction" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10727
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10728 (define-skeleton verilog-sk-always
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10729 "Insert always block. Uses the minibuffer to prompt
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10730 for sensitivity list."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10731 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10732 > "always @ ( /*AUTOSENSE*/ ) begin\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10733 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10734 > (- verilog-indent-level-behavioral) "end" \n >
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10735 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10736
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10737 (define-skeleton verilog-sk-initial
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10738 "Insert an initial block."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10739 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10740 > "initial begin\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10741 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10742 > (- verilog-indent-level-behavioral) "end" \n > )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10743
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10744 (define-skeleton verilog-sk-specify
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10745 "Insert specify block. "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10746 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10747 > "specify\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10748 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10749 > (- verilog-indent-level-behavioral) "endspecify" \n > )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10750
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10751 (define-skeleton verilog-sk-generate
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10752 "Insert generate block. "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10753 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10754 > "generate\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10755 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10756 > (- verilog-indent-level-behavioral) "endgenerate" \n > )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10757
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10758 (define-skeleton verilog-sk-begin
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
10759 "Insert begin end block. Uses the minibuffer to prompt for name."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10760 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10761 > "begin" '(verilog-sk-prompt-name) \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10762 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10763 > (- verilog-indent-level-behavioral) "end"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10764 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10765
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10766 (define-skeleton verilog-sk-fork
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
10767 "Insert a fork join block."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10768 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10769 > "fork\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10770 > "begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10771 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10772 > (- verilog-indent-level-behavioral) "end" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10773 > "begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10774 > \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10775 > (- verilog-indent-level-behavioral) "end" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10776 > (- verilog-indent-level-behavioral) "join" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10777 > )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10778
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10779
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10780 (define-skeleton verilog-sk-case
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10781 "Build skeleton case statement, prompting for the selector expression,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10782 and the case items."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10783 "[selector expression]: "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10784 > "case (" str ") " \n
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10785 > ("case selector: " str ": begin" \n > _ \n > (- verilog-indent-level-behavioral) "end" \n > )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10786 resume: > (- verilog-case-indent) "endcase" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10787
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10788 (define-skeleton verilog-sk-casex
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10789 "Build skeleton casex statement, prompting for the selector expression,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10790 and the case items."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10791 "[selector expression]: "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10792 > "casex (" str ") " \n
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10793 > ("case selector: " str ": begin" \n > _ \n > (- verilog-indent-level-behavioral) "end" \n > )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10794 resume: > (- verilog-case-indent) "endcase" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10795
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10796 (define-skeleton verilog-sk-casez
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10797 "Build skeleton casez statement, prompting for the selector expression,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10798 and the case items."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10799 "[selector expression]: "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10800 > "casez (" str ") " \n
103616
af77bf73dfe0 * verilog-mode.el (verilog-beg-of-statement)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 101958
diff changeset
10801 > ("case selector: " str ": begin" \n > _ \n > (- verilog-indent-level-behavioral) "end" \n > )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10802 resume: > (- verilog-case-indent) "endcase" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10803
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10804 (define-skeleton verilog-sk-if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10805 "Insert a skeleton if statement."
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10806 > "if (" '(verilog-sk-prompt-condition) & ")" " begin" \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10807 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10808 > (- verilog-indent-level-behavioral) "end " \n )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10809
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10810 (define-skeleton verilog-sk-else-if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10811 "Insert a skeleton else if statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10812 > (verilog-indent-line) "else if ("
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10813 (progn (setq verilog-sk-p (point)) nil) '(verilog-sk-prompt-condition) (if (> (point) verilog-sk-p) ") " -1 ) & " begin" \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10814 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10815 > "end" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10816
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10817 (define-skeleton verilog-sk-datadef
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
10818 "Common routine to get data definition."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10819 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10820 '(verilog-sk-prompt-width) | -1 ("name (RET to end):" str ", ") -2 ";" \n)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10821
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10822 (define-skeleton verilog-sk-input
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10823 "Insert an input definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10824 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10825 > "input [" '(verilog-sk-datadef))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10826
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10827 (define-skeleton verilog-sk-output
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10828 "Insert an output definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10829 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10830 > "output [" '(verilog-sk-datadef))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10831
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10832 (define-skeleton verilog-sk-inout
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10833 "Insert an inout definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10834 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10835 > "inout [" '(verilog-sk-datadef))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10836
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10837 (defvar verilog-sk-signal nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10838 (define-skeleton verilog-sk-def-reg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10839 "Insert a reg definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10840 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10841 > "reg [" '(verilog-sk-prompt-width) | -1 verilog-sk-signal ";" \n (verilog-pretty-declarations) )
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10842
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10843 (defun verilog-sk-define-signal ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10844 "Insert a definition of signal under point at top of module."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10845 (interactive "*")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10846 (let* ((sig-re "[a-zA-Z0-9_]*")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10847 (v1 (buffer-substring
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10848 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10849 (skip-chars-backward sig-re)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10850 (point))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10851 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10852 (skip-chars-forward sig-re)
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10853 (point)))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10854 (if (not (member v1 verilog-keywords))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10855 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10856 (setq verilog-sk-signal v1)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10857 (verilog-beg-of-defun)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10858 (verilog-end-of-statement)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10859 (verilog-forward-syntactic-ws)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10860 (verilog-sk-def-reg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10861 (message "signal at point is %s" v1))
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10862 (message "object at point (%s) is a keyword" v1))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10863
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10864 (define-skeleton verilog-sk-wire
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10865 "Insert a wire definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10866 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10867 > "wire [" '(verilog-sk-datadef))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10868
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10869 (define-skeleton verilog-sk-reg
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10870 "Insert a reg definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10871 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10872 > "reg [" '(verilog-sk-datadef))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10873
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10874 (define-skeleton verilog-sk-assign
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10875 "Insert a skeleton assign statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10876 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10877 > "assign " '(verilog-sk-prompt-name) " = " _ ";" \n)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10878
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10879 (define-skeleton verilog-sk-while
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10880 "Insert a skeleton while loop statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10881 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10882 > "while (" '(verilog-sk-prompt-condition) ") begin" \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10883 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10884 > (- verilog-indent-level-behavioral) "end " (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10885
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10886 (define-skeleton verilog-sk-repeat
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10887 "Insert a skeleton repeat loop statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10888 ()
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10889 > "repeat (" '(verilog-sk-prompt-condition) ") begin" \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10890 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10891 > (- verilog-indent-level-behavioral) "end " (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10892
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10893 (define-skeleton verilog-sk-for
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10894 "Insert a skeleton while loop statement."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10895 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10896 > "for ("
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10897 '(verilog-sk-prompt-init) "; "
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10898 '(verilog-sk-prompt-condition) "; "
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10899 '(verilog-sk-prompt-inc)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10900 ") begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10901 > _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10902 > (- verilog-indent-level-behavioral) "end " (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10903
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10904 (define-skeleton verilog-sk-comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10905 "Inserts three comment lines, making a display comment."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10906 ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10907 > "/*\n"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10908 > "* " _ \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10909 > "*/")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10910
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10911 (define-skeleton verilog-sk-state-machine
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10912 "Insert a state machine definition."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10913 "Name of state variable: "
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10914 '(setq input "state")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10915 > "// State registers for " str | -23 \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10916 '(setq verilog-sk-state str)
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10917 > "reg [" '(verilog-sk-prompt-width) | -1 verilog-sk-state ", next_" verilog-sk-state ?; \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10918 '(setq input nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10919 > \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10920 > "// State FF for " verilog-sk-state \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10921 > "always @ ( " (read-string "clock:" "posedge clk") " or " (verilog-sk-prompt-reset) " ) begin" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10922 > "if ( " verilog-sk-reset " ) " verilog-sk-state " = 0; else" \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10923 > verilog-sk-state " = next_" verilog-sk-state ?; \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10924 > (- verilog-indent-level-behavioral) "end" (progn (electric-verilog-terminate-line) nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10925 > \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10926 > "// Next State Logic for " verilog-sk-state \n
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10927 > "always @ ( /*AUTOSENSE*/ ) begin\n"
79986
c592638ac955 (verilog-sk-prompt-msb)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79810
diff changeset
10928 > "case (" '(verilog-sk-prompt-state-selector) ") " \n
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10929 > ("case selector: " str ": begin" \n > "next_" verilog-sk-state " = " _ ";" \n > (- verilog-indent-level-behavioral) "end" \n )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10930 resume: > (- verilog-case-indent) "endcase" (progn (electric-verilog-terminate-line) nil)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10931 > (- verilog-indent-level-behavioral) "end" (progn (electric-verilog-terminate-line) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10932
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10933
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10934 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10935 ;; Include file loading with mouse/return event
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10936 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10937 ;; idea & first impl.: M. Rouat (eldo-mode.el)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10938 ;; second (emacs/xemacs) impl.: G. Van der Plas (spice-mode.el)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10939
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10940 (if (featurep 'xemacs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10941 (require 'overlay)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10942 (require 'lucid)) ;; what else can we do ??
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10943
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10944 (defconst verilog-include-file-regexp
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10945 "^`include\\s-+\"\\([^\n\"]*\\)\""
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10946 "Regexp that matches the include file.")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10947
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
10948 (defvar verilog-mode-mouse-map
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10949 (let ((map (make-sparse-keymap))) ; as described in info pages, make a map
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10950 (set-keymap-parent map verilog-mode-map)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10951 ;; mouse button bindings
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10952 (define-key map "\r" 'verilog-load-file-at-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10953 (if (featurep 'xemacs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10954 (define-key map 'button2 'verilog-load-file-at-mouse);ffap-at-mouse ?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10955 (define-key map [mouse-2] 'verilog-load-file-at-mouse))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10956 (if (featurep 'xemacs)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10957 (define-key map 'Sh-button2 'mouse-yank) ; you wanna paste don't you ?
79550
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10958 (define-key map [S-mouse-2] 'mouse-yank-at-click))
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10959 map)
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10960 "Map containing mouse bindings for `verilog-mode'.")
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
10961
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10962
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10963 (defun verilog-colorize-include-files (beg end old-len)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10964 "This function colorizes included files when the mouse passes over them.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10965 Clicking on the middle-mouse button loads them in a buffer (as in dired)."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10966 (save-excursion
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10967 (save-match-data
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10968 (let (end-point)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10969 (goto-char end)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10970 (setq end-point (verilog-get-end-of-line))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10971 (goto-char beg)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10972 (beginning-of-line) ; scan entire line !
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10973 ;; delete overlays existing on this line
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10974 (let ((overlays (overlays-in (point) end-point)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10975 (while overlays
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10976 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10977 (overlay-get (car overlays) 'detachable)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10978 (overlay-get (car overlays) 'verilog-include-file))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10979 (delete-overlay (car overlays)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10980 (setq overlays (cdr overlays)))) ; let
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10981 ;; make new ones, could reuse deleted one ?
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10982 (while (search-forward-regexp verilog-include-file-regexp end-point t)
79550
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10983 (let (ov)
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10984 (goto-char (match-beginning 1))
79550
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10985 (setq ov (make-overlay (match-beginning 1) (match-end 1)))
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10986 (overlay-put ov 'start-closed 't)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10987 (overlay-put ov 'end-closed 't)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10988 (overlay-put ov 'evaporate 't)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10989 (overlay-put ov 'verilog-include-file 't)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10990 (overlay-put ov 'mouse-face 'highlight)
7f3b93a179a2 * progmodes/verilog-mode.el (verilog-mode-map)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79549
diff changeset
10991 (overlay-put ov 'local-map verilog-mode-mouse-map)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10992
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10993
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10994 (defun verilog-colorize-include-files-buffer ()
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
10995 "Colorize an include file."
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10996 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10997 ;; delete overlays
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10998 (let ((overlays (overlays-in (point-min) (point-max))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
10999 (while overlays
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11000 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11001 (overlay-get (car overlays) 'detachable)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11002 (overlay-get (car overlays) 'verilog-include-file))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11003 (delete-overlay (car overlays)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11004 (setq overlays (cdr overlays)))) ; let
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11005 ;; remake overlays
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11006 (verilog-colorize-include-files (point-min) (point-max) nil))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11007
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
11008 ;; ffap-at-mouse isn't useful for Verilog mode. It uses library paths.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11009 ;; so define this function to do more or less the same as ffap-at-mouse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11010 ;; but first resolve filename...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11011 (defun verilog-load-file-at-mouse (event)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11012 "Load file under button 2 click's EVENT.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11013 Files are checked based on `verilog-library-directories'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11014 (interactive "@e")
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
11015 (save-excursion ;; implement a Verilog specific ffap-at-mouse
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11016 (mouse-set-point event)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11017 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11018 (if (looking-at verilog-include-file-regexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11019 (if (and (car (verilog-library-filenames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11020 (match-string 1) (buffer-file-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11021 (file-readable-p (car (verilog-library-filenames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11022 (match-string 1) (buffer-file-name)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11023 (find-file (car (verilog-library-filenames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11024 (match-string 1) (buffer-file-name))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11025 (progn
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11026 (message
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11027 "File '%s' isn't readable, use shift-mouse2 to paste in this field"
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
11028 (match-string 1)))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11029
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
11030 ;; ffap isn't useable for Verilog mode. It uses library paths.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11031 ;; so define this function to do more or less the same as ffap
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11032 ;; but first resolve filename...
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11033 (defun verilog-load-file-at-point ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11034 "Load file under point.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11035 Files are checked based on `verilog-library-directories'."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11036 (interactive)
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
11037 (save-excursion ;; implement a Verilog specific ffap
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11038 (beginning-of-line)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11039 (if (looking-at verilog-include-file-regexp)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11040 (if (and
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11041 (car (verilog-library-filenames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11042 (match-string 1) (buffer-file-name)))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11043 (file-readable-p (car (verilog-library-filenames
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11044 (match-string 1) (buffer-file-name)))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11045 (find-file (car (verilog-library-filenames
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
11046 (match-string 1) (buffer-file-name))))))))
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11047
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11048
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11049 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11050 ;; Bug reporting
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11051 ;;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11052
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11053 (defun verilog-faq ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11054 "Tell the user their current version, and where to get the FAQ etc."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11055 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11056 (with-output-to-temp-buffer "*verilog-mode help*"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11057 (princ (format "You are using verilog-mode %s\n" verilog-mode-version))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11058 (princ "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11059 (princ "For new releases, see http://www.verilog.com\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11060 (princ "\n")
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
11061 (princ "For frequently asked questions, see http://www.veripool.org/verilog-mode-faq.html\n")
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11062 (princ "\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11063 (princ "To submit a bug, use M-x verilog-submit-bug-report\n")
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11064 (princ "\n")))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11065
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
11066 (autoload 'reporter-submit-bug-report "reporter")
79799
57956dd69d3f (top-level): Fix spacing.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79717
diff changeset
11067 (defvar reporter-prompt-for-summary-p)
79691
d3e3c91e18f6 * progmodes/verilog-mode.el (top-level): Don't require compile.
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79555
diff changeset
11068
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11069 (defun verilog-submit-bug-report ()
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11070 "Submit via mail a bug report on verilog-mode.el."
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11071 (interactive)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11072 (let ((reporter-prompt-for-summary-p t))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11073 (reporter-submit-bug-report
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11074 "mac@verilog.com"
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11075 (concat "verilog-mode v" verilog-mode-version)
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11076 '(
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11077 verilog-align-ifelse
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11078 verilog-auto-endcomments
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11079 verilog-auto-hook
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11080 verilog-auto-indent-on-newline
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11081 verilog-auto-inst-vector
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11082 verilog-auto-inst-template-numbers
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11083 verilog-auto-lineup
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11084 verilog-auto-newline
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11085 verilog-auto-save-policy
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11086 verilog-auto-sense-defines-constant
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11087 verilog-auto-sense-include-inputs
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11088 verilog-before-auto-hook
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11089 verilog-case-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11090 verilog-cexp-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11091 verilog-compiler
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11092 verilog-coverage
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11093 verilog-highlight-translate-off
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11094 verilog-indent-begin-after-if
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11095 verilog-indent-declaration-macros
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11096 verilog-indent-level
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11097 verilog-indent-level-behavioral
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11098 verilog-indent-level-declaration
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11099 verilog-indent-level-directive
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11100 verilog-indent-level-module
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11101 verilog-indent-lists
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11102 verilog-library-flags
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11103 verilog-library-directories
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11104 verilog-library-extensions
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11105 verilog-library-files
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11106 verilog-linter
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11107 verilog-minimum-comment-distance
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11108 verilog-mode-hook
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11109 verilog-simulator
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11110 verilog-tab-always-indent
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11111 verilog-tab-to-comment
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11112 )
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11113 nil nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11114 (concat "Hi Mac,
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11115
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11116 I want to report a bug. I've read the `Bugs' section of `Info' on
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11117 Emacs, so I know how to make a clear and unambiguous report. To get
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11118 to that Info section, I typed
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11119
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11120 M-x info RET m " invocation-name " RET m bugs RET
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11121
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11122 Before I go further, I want to say that Verilog mode has changed my life.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11123 I save so much time, my files are colored nicely, my co workers respect
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11124 my coding ability... until now. I'd really appreciate anything you
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11125 could do to help me out with this minor deficiency in the product.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11126
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11127 If you have bugs with the AUTO functions, please CC the AUTOAUTHOR Wilson
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
11128 Snyder (wsnyder@wsnyder.org) and/or see http://www.veripool.org.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11129 You may also want to look at the Verilog-Mode FAQ, see
98007
883843ca3292 * verilog-mode.el (verilog-library-extensions): Enable .sv
Dan Nicolaescu <dann@ics.uci.edu>
parents: 97107
diff changeset
11130 http://www.veripool.org/verilog-mode-faq.html.
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11131
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11132 To reproduce the bug, start a fresh Emacs via " invocation-name "
80165
411da0873a97 Re-commit doc fixes accidentally reverted.
Juanma Barranquero <lekktu@gmail.com>
parents: 80163
diff changeset
11133 -no-init-file -no-site-file'. In a new buffer, in Verilog mode, type
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11134 the code included below.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11135
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11136 Given those lines, I expected [[Fill in here]] to happen;
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11137 but instead, [[Fill in here]] happens!.
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11138
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11139 == The code: =="))))
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11140
79546
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
11141 (provide 'verilog-mode)
0413a70bb454 (verilog-mode-version)
Dan Nicolaescu <dann@ics.uci.edu>
parents: 79545
diff changeset
11142
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11143 ;; Local Variables:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11144 ;; checkdoc-permit-comma-termination-flag:t
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11145 ;; checkdoc-force-docstrings-flag:nil
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11146 ;; End:
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11147
79552
23c53aca1e84 Add arch tagline
Miles Bader <miles@gnu.org>
parents: 79551
diff changeset
11148 ;; arch-tag: 87923725-57b3-41b5-9494-be21118c6a6f
79545
176f1495425c New file.
Dan Nicolaescu <dann@ics.uci.edu>
parents:
diff changeset
11149 ;;; verilog-mode.el ends here